WO2003063245A3 - Process condition sensing wafer and data analysis system - Google Patents

Process condition sensing wafer and data analysis system Download PDF

Info

Publication number
WO2003063245A3
WO2003063245A3 PCT/US2003/000751 US0300751W WO03063245A3 WO 2003063245 A3 WO2003063245 A3 WO 2003063245A3 US 0300751 W US0300751 W US 0300751W WO 03063245 A3 WO03063245 A3 WO 03063245A3
Authority
WO
WIPO (PCT)
Prior art keywords
conditions
data analysis
analysis system
process condition
sensing wafer
Prior art date
Application number
PCT/US2003/000751
Other languages
French (fr)
Other versions
WO2003063245A2 (en
Inventor
Wayne G Renken
Original Assignee
Sensarray Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensarray Corp filed Critical Sensarray Corp
Priority to JP2003563004A priority Critical patent/JP4719933B2/en
Priority to KR1020047011508A priority patent/KR101019076B1/en
Publication of WO2003063245A2 publication Critical patent/WO2003063245A2/en
Publication of WO2003063245A3 publication Critical patent/WO2003063245A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A measuring device incorporating a substrate with sensors that measure the processing conditions that a wafer may undergo during manufacturing. The substrate can be inserted into a processing chamber by a robot head and the measuring device can transmit the conditions in real time or store the conditions for subsequent analysis. Sensitive electronic components of the device can be distanced or isolated from the most deleterious processing conditions in order increase the accuracy, operating range, and reliability of the device.
PCT/US2003/000751 2002-01-24 2003-01-08 Process condition sensing wafer and data analysis system WO2003063245A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003563004A JP4719933B2 (en) 2002-01-24 2003-01-08 Processing status detection wafer and data analysis system
KR1020047011508A KR101019076B1 (en) 2002-01-24 2003-01-08 Process condition sensing wafer and data analysis system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/056,906 2002-01-24
US10/056,906 US6889568B2 (en) 2002-01-24 2002-01-24 Process condition sensing wafer and data analysis system

Publications (2)

Publication Number Publication Date
WO2003063245A2 WO2003063245A2 (en) 2003-07-31
WO2003063245A3 true WO2003063245A3 (en) 2003-11-13

Family

ID=27609343

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/000751 WO2003063245A2 (en) 2002-01-24 2003-01-08 Process condition sensing wafer and data analysis system

Country Status (5)

Country Link
US (4) US6889568B2 (en)
JP (1) JP4719933B2 (en)
KR (1) KR101019076B1 (en)
TW (1) TWI259547B (en)
WO (1) WO2003063245A2 (en)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7282889B2 (en) * 2001-04-19 2007-10-16 Onwafer Technologies, Inc. Maintenance unit for a sensor apparatus
US7757574B2 (en) * 2002-01-24 2010-07-20 Kla-Tencor Corporation Process condition sensing wafer and data analysis system
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP3916549B2 (en) * 2002-10-31 2007-05-16 東京エレクトロン株式会社 Process monitor and semiconductor manufacturing apparatus
US7151366B2 (en) * 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7135852B2 (en) * 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7461560B2 (en) * 2005-03-28 2008-12-09 Microstrain, Inc. Strain gauge with moisture barrier and self-testing circuit
US20050217796A1 (en) * 2004-03-31 2005-10-06 Carter Daniel C Techniques for packaging and encapsulating components of diagnostic plasma measurement devices
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20050284570A1 (en) * 2004-06-24 2005-12-29 Doran Daniel B Diagnostic plasma measurement device having patterned sensors and features
WO2006010108A2 (en) * 2004-07-10 2006-01-26 Onwafer Technologies, Inc. Methods and apparatus for low distortion parameter measurements
US7368312B1 (en) * 2004-10-15 2008-05-06 Morgan Research Corporation MEMS sensor suite on a chip
JP2006216855A (en) * 2005-02-04 2006-08-17 National Institute Of Advanced Industrial & Technology Film forming equipment and measuring method
US7924416B2 (en) * 2005-06-22 2011-04-12 Nikon Corporation Measurement apparatus, exposure apparatus, and device manufacturing method
US20070107523A1 (en) * 2005-10-31 2007-05-17 Galewski Carl J Distributed Pressure Sensoring System
TWI405281B (en) * 2005-12-13 2013-08-11 Sensarray Corp Process condition sensing wafer and data analysis system
US8604361B2 (en) * 2005-12-13 2013-12-10 Kla-Tencor Corporation Component package for maintaining safe operating temperature of components
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US7540188B2 (en) * 2006-05-01 2009-06-02 Lynn Karl Wiese Process condition measuring device with shielding
US7638798B2 (en) * 2006-08-24 2009-12-29 Coherent, Inc. Laminated wafer sensor system for UV dose measurement
WO2008042199A2 (en) * 2006-09-29 2008-04-10 Cyberoptics Semiconductor, Inc. Particles sensor integrated with substrate
JP2008140833A (en) * 2006-11-30 2008-06-19 Sokudo:Kk Substrate for measuring temperature, and temperature measuring system
JP2008192840A (en) * 2007-02-05 2008-08-21 Tokyo Electron Ltd Vacuum processing apparatus, method for vacuum processing and storage medium
US7629184B2 (en) * 2007-03-20 2009-12-08 Tokyo Electron Limited RFID temperature sensing wafer, system and method
US8079758B2 (en) * 2007-10-25 2011-12-20 Sebacs Co., Ltd. Temperature computing instrument and method for calibrating temperature of sensor part used therefor
FR2936605B1 (en) * 2008-10-01 2014-10-31 Saint Gobain DEVICE FOR ANALYZING THE SURFACE OF A SUBSTRATE
US8676537B2 (en) 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
EP2365390A3 (en) 2010-03-12 2017-10-04 ASML Netherlands B.V. Lithographic apparatus and method
US8928339B2 (en) * 2010-10-29 2015-01-06 The Boeing Company Methods and systems for automated measurement of electrical bonds
US8681493B2 (en) 2011-05-10 2014-03-25 Kla-Tencor Corporation Heat shield module for substrate-like metrology device
JP2013045817A (en) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp Vacuum processing apparatus and vacuum processing method
JP5712975B2 (en) * 2012-07-06 2015-05-07 東京エレクトロン株式会社 Substrate for measurement, substrate processing apparatus, and method of operating substrate processing apparatus
US9356822B2 (en) * 2012-10-30 2016-05-31 Kla-Tencor Corporation Automated interface apparatus and method for use in semiconductor wafer handling systems
CN104278249A (en) * 2013-07-02 2015-01-14 上海和辉光电有限公司 Crucible material quantity detection device and method and evaporator
DE102014105188A1 (en) * 2014-04-11 2015-10-15 Osram Opto Semiconductors Gmbh Semiconductor chip, optoelectronic component with semiconductor chip and method for producing a semiconductor chip
US11569138B2 (en) 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
US10216100B2 (en) 2015-07-16 2019-02-26 Asml Netherlands B.V. Inspection substrate and an inspection method
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device
NL2017837A (en) * 2015-11-25 2017-06-02 Asml Netherlands Bv A Measurement Substrate and a Measurement Method
JP6630142B2 (en) * 2015-12-18 2020-01-15 株式会社ディスコ Static electricity detector
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
US10818561B2 (en) * 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles
US20170268941A1 (en) * 2016-03-21 2017-09-21 Globalfoundries Inc. Tactile sensing intrumented wafer
US10460966B2 (en) * 2016-06-15 2019-10-29 Kla-Tencor Corporation Encapsulated instrumented substrate apparatus for acquiring measurement parameters in high temperature process applications
JP6811097B2 (en) * 2017-01-13 2021-01-13 東京エレクトロン株式会社 Board processing equipment
US10509052B2 (en) 2017-02-06 2019-12-17 Lam Research Corporation Smart vibration wafer with optional integration with semiconductor processing tool
US10905376B2 (en) 2017-07-14 2021-02-02 Welch Allyn, Inc. Physical parameter measuring
CN108300973B (en) * 2018-01-31 2020-05-22 京东方科技集团股份有限公司 Loading jig and evaporation plating machine
KR20210011388A (en) * 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 Real-time detection with mitigated interference to the characteristics of manufacturing equipment
CN113169090A (en) * 2018-12-03 2021-07-23 朗姆研究公司 Pin lifter test substrate
KR20200134582A (en) * 2019-05-22 2020-12-02 삼성전자주식회사 Pressure measuring apparatus
EP3748374B8 (en) 2019-06-06 2023-02-15 Rohde & Schwarz GmbH & Co. KG System and method for calibrating radio frequency test chambers
CN114466728A (en) * 2019-07-26 2022-05-10 朗姆研究公司 Integrated adaptive positioning system and routines for automated wafer handling robot teaching and health check
TW202213596A (en) 2020-06-04 2022-04-01 日商東京威力科創股份有限公司 Operation method for substrate processing device
US11284018B1 (en) * 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR20220041300A (en) * 2020-09-24 2022-04-01 세메스 주식회사 Wafer type sensor unit and data acquisition method using the wafer type sensor unit
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
US11688614B2 (en) 2021-04-28 2023-06-27 Kla Corporation Mitigating thermal expansion mismatch in temperature probe construction apparatus and method
TWI782507B (en) * 2021-04-28 2022-11-01 富力特科技股份有限公司 A cassette feeding robot arm and sensor with a thin and brittle substrate rubbing vibration sensor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444637A (en) * 1993-09-28 1995-08-22 Advanced Micro Devices, Inc. Programmable semiconductor wafer for sensing, recording and retrieving fabrication process conditions to which the wafer is exposed
EP1014437A2 (en) * 1998-11-16 2000-06-28 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
WO2000068986A1 (en) * 1999-05-07 2000-11-16 Tokyo Electron Limited Method and apparatus for vacuum treatment
US20010014520A1 (en) * 2000-02-16 2001-08-16 Tatehito Usui Process monitoring methods in a plasma processing apparatus, monitoring units, and a sample processing method using the monitoring units
US20020109590A1 (en) * 2001-02-14 2002-08-15 Parsons James D. Bonded structure using reacted borosilicate mixture

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3589979A (en) 1967-08-10 1971-06-29 Leeds & Northrup Co Insulated heat sensing assemblies and method of making the same
AT368283B (en) 1980-11-07 1982-09-27 Philips Nv NOZZLE PLATE FOR AN INK JET PRINT HEAD AND METHOD FOR PRODUCING SUCH A NOZZLE PLATE
USRE32369E (en) 1980-11-17 1987-03-10 Ball Corporation Monolithic microwave integrated circuit with integral array antenna
DE3118693A1 (en) 1981-05-12 1982-12-02 Brown, Boveri & Cie Ag, 6800 Mannheim "HIGH TEMPERATURE BATTERY"
JPS6073325A (en) 1983-09-30 1985-04-25 Toshiba Corp Semiconductor pressure sensor
US4656454A (en) 1985-04-24 1987-04-07 Honeywell Inc. Piezoresistive pressure transducer with elastomeric seals
US4795975A (en) 1986-09-26 1989-01-03 Texas Instruments Incorporated Thermal and electromagnetic shield for power meter
JPS6440395A (en) 1987-08-05 1989-02-10 Fanuc Ltd Ic card
US4944401A (en) 1989-09-28 1990-07-31 Sundstrand Data Control, Inc. Crash survivable enclosure for flight recorder
DE69025624T2 (en) 1989-12-22 1996-10-24 Foxboro Co LIGHTWEIGHT ARRANGEMENT FOR SEALED CIRCUIT BOARD
US5001934A (en) 1990-01-02 1991-03-26 Walbro Corporation Solid state pressure sensor
US5184107A (en) 1991-01-28 1993-02-02 Honeywell, Inc. Piezoresistive pressure transducer with a conductive elastomeric seal
US5479197A (en) 1991-07-11 1995-12-26 Canon Kabushiki Kaisha Head for recording apparatus
JP3231096B2 (en) 1991-10-15 2001-11-19 キヤノン株式会社 Base for liquid jet recording head, method of manufacturing the same, liquid jet recording head, and liquid jet recording apparatus
EP0563713A3 (en) * 1992-04-01 1996-01-24 Hughes Aircraft Co Remote identification sensor system
US5262944A (en) 1992-05-15 1993-11-16 Hewlett-Packard Company Method for use of color and selective highlighting to indicate patient critical events in a centralized patient monitoring system
US5301097A (en) 1992-06-10 1994-04-05 Intel Corporation Multi-staged charge-pump with staggered clock phases for providing high current capability
US5285559A (en) 1992-09-10 1994-02-15 Sundstrand Corporation Method and apparatus for isolating electronic boards from shock and thermal environments
US6665190B2 (en) 1992-09-16 2003-12-16 James E. Clayton Modular PC card which receives add-in PC card modules
US5341684A (en) 1992-12-07 1994-08-30 Motorola, Inc. Pressure sensor built into a cable connector
JP2969034B2 (en) 1993-06-18 1999-11-02 東京エレクトロン株式会社 Transfer method and transfer device
JPH07120340A (en) 1993-10-22 1995-05-12 Honda Motor Co Ltd Pressure sensor
US5435646A (en) 1993-11-09 1995-07-25 Hughes Aircraft Company Temperature measurement using ion implanted wafers
US5519577A (en) 1993-12-23 1996-05-21 Symbol Technologies, Inc. Spread spectrum radio incorporated in a PCMCIA Type II card holder
DE4415984A1 (en) 1994-05-06 1995-11-09 Bosch Gmbh Robert Semiconductor sensor with protective layer
US5669713A (en) * 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
US5603656A (en) 1995-09-11 1997-02-18 Zomeworks Corporation Passive ventilation device and method
US5711891A (en) 1995-09-20 1998-01-27 Lucent Technologies Inc. Wafer processing using thermal nitride etch mask
US6123413A (en) 1995-10-25 2000-09-26 Hewlett-Packard Company Reduced spray inkjet printhead orifice
US6010538A (en) 1996-01-11 2000-01-04 Luxtron Corporation In situ technique for monitoring and controlling a process of chemical-mechanical-polishing via a radiative communication link
US5790151A (en) 1996-03-27 1998-08-04 Imaging Technology International Corp. Ink jet printhead and method of making
US5689878A (en) 1996-04-17 1997-11-25 Lucent Technologies Inc. Method for protecting electronic circuit components
US5792984A (en) 1996-07-01 1998-08-11 Cts Corporation Molded aluminum nitride packages
DE19707503B4 (en) 1997-02-25 2007-01-04 Infineon Technologies Ag Pressure sensor component and method of manufacture
JP2000517061A (en) 1997-06-12 2000-12-19 マチュレック,アンドリュウ,エム. Liquid level indicator
CN1110770C (en) 1997-06-23 2003-06-04 罗姆股份有限公司 Moule for IC card, IC card and method for mfg. of module for IC card
AUPP653498A0 (en) 1998-10-16 1998-11-05 Silverbrook Research Pty Ltd Micromechanical device and method (ij46a)
US5969639A (en) 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
EP0926121A1 (en) * 1997-10-28 1999-06-30 Quest International B.V. Reaction of alkenes with epoxides, the products thereof and their use as fragrance compounds
US5970313A (en) 1997-12-19 1999-10-19 Advanced Micro Devices, Inc. Monitoring wafer temperature during thermal processing of wafers by measuring sheet resistance of a test wafer
US6744346B1 (en) * 1998-02-27 2004-06-01 Micron Technology, Inc. Electronic device workpieces, methods of semiconductor processing and methods of sensing temperature of an electronic device workpiece
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6075909A (en) 1998-06-26 2000-06-13 Lucent Technologies, Inc. Optical monitoring system for III-V wafer processing
US6325536B1 (en) * 1998-07-10 2001-12-04 Sensarray Corporation Integrated wafer temperature sensors
US6279402B1 (en) * 1998-08-10 2001-08-28 Applied Materials, Inc. Device for measuring pressure in a chamber
JP3455458B2 (en) * 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
US6181727B1 (en) 1999-04-19 2001-01-30 General Electric Company Coating for reducing operating temperatures of chamber components of a coating apparatus
US6220691B1 (en) * 1999-04-30 2001-04-24 Hewlett-Packard Company Fiber tracking management system for inkjet printheads
US6190040B1 (en) * 1999-05-10 2001-02-20 Sensarray Corporation Apparatus for sensing temperature on a substrate in an integrated circuit fabrication tool
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
TW522500B (en) 1999-07-28 2003-03-01 Winbond Electronics Corp Measurement of surface pressure distribution of whole wafer surface and feedback method
US6326543B1 (en) 1999-10-07 2001-12-04 Motorola, Inc. Self-sealing accessible container
US6655835B2 (en) * 1999-12-21 2003-12-02 Schweitzer Engineering Laboratories Inc. Setting-free resistive temperature device (RTD) measuring module
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6966235B1 (en) 2000-10-06 2005-11-22 Paton Eric N Remote monitoring of critical parameters for calibration of manufacturing equipment and facilities
JP3853601B2 (en) 2001-03-12 2006-12-06 株式会社東芝 Semiconductor modules and coolers
NL1017593C2 (en) 2001-03-14 2002-09-17 Asm Int Inspection system for process devices for treating substrates, as well as a sensor intended for such an inspection system and a method for inspecting process devices.
US6542835B2 (en) 2001-03-22 2003-04-01 Onwafer Technologies, Inc. Data collection methods and apparatus
JP4801274B2 (en) 2001-03-29 2011-10-26 本田技研工業株式会社 Control box with built-in pressure sensor
US6789034B2 (en) 2001-04-19 2004-09-07 Onwafer Technologies, Inc. Data collection methods and apparatus with parasitic correction
TW594455B (en) 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
US6971036B2 (en) 2001-04-19 2005-11-29 Onwafer Technologies Methods and apparatus for low power delay control
US6668618B2 (en) * 2001-04-23 2003-12-30 Agilent Technologies, Inc. Systems and methods of monitoring thin film deposition
US7757574B2 (en) 2002-01-24 2010-07-20 Kla-Tencor Corporation Process condition sensing wafer and data analysis system
US6889568B2 (en) 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US6819559B1 (en) 2002-05-06 2004-11-16 Apple Computer, Inc. Method and apparatus for controlling the temperature of electronic device enclosures
ATE322743T1 (en) 2002-05-15 2006-04-15 Tyco Electronics Amp Gmbh ELECTRONICS MODULE
US7151366B2 (en) * 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7135852B2 (en) * 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
JP3944465B2 (en) 2003-04-11 2007-07-11 三菱電機株式会社 Thermal infrared detector and infrared focal plane array
US20050247761A1 (en) 2004-05-04 2005-11-10 Albanese Patricia M Surface mount attachment of components
US6915589B2 (en) 2003-10-16 2005-07-12 Sensarray Corporation Sensor positioning systems and methods
JP2005156314A (en) 2003-11-25 2005-06-16 Nippon Chemicon Corp Method and device for measuring temperature of semiconductor wafer
US7683270B2 (en) 2005-06-03 2010-03-23 Telect Inc. Telecommunications cabinet
US7385199B2 (en) 2005-09-26 2008-06-10 Teledyne Licensing, Llc Microbolometer IR focal plane array (FPA) with in-situ mirco vacuum sensor and method of fabrication
TWI405281B (en) 2005-12-13 2013-08-11 Sensarray Corp Process condition sensing wafer and data analysis system
JP4434214B2 (en) 2007-02-08 2010-03-17 株式会社デンソー Battery state detection device
US7799614B2 (en) 2007-12-21 2010-09-21 Infineon Technologies Ag Method of fabricating a power electronic device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444637A (en) * 1993-09-28 1995-08-22 Advanced Micro Devices, Inc. Programmable semiconductor wafer for sensing, recording and retrieving fabrication process conditions to which the wafer is exposed
EP1014437A2 (en) * 1998-11-16 2000-06-28 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
WO2000068986A1 (en) * 1999-05-07 2000-11-16 Tokyo Electron Limited Method and apparatus for vacuum treatment
US6553277B1 (en) * 1999-05-07 2003-04-22 Tokyo Electron Limited Method and apparatus for vacuum treatment
US20010014520A1 (en) * 2000-02-16 2001-08-16 Tatehito Usui Process monitoring methods in a plasma processing apparatus, monitoring units, and a sample processing method using the monitoring units
US20020109590A1 (en) * 2001-02-14 2002-08-15 Parsons James D. Bonded structure using reacted borosilicate mixture

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BAKER M D ET AL: "A NOVEL IN SITU MONITORING TECHNIQUE FOR REACTIVE ION ETCHING USINGA SURFACE MICROMACHINED SENSOR", IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, IEEE INC, NEW YORK, US, vol. 11, no. 2, 1 May 1998 (1998-05-01), pages 254 - 264, XP000752496, ISSN: 0894-6507 *
FREED M ET AL: "AUTONOMOUS ON-WAFER SENSORS FOR PROCESS MODELING, DIAGNOSIS, AND CONTROL", IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, IEEE INC, NEW YORK, US, vol. 14, no. 3, August 2001 (2001-08-01), pages 255 - 264, XP001081192, ISSN: 0894-6507 *

Also Published As

Publication number Publication date
US9165846B2 (en) 2015-10-20
US20080228419A1 (en) 2008-09-18
TW200302543A (en) 2003-08-01
US20040074323A1 (en) 2004-04-22
JP2005516400A (en) 2005-06-02
WO2003063245A2 (en) 2003-07-31
US20040031340A1 (en) 2004-02-19
US6889568B2 (en) 2005-05-10
KR20040086295A (en) 2004-10-08
JP4719933B2 (en) 2011-07-06
US7360463B2 (en) 2008-04-22
US7819033B2 (en) 2010-10-26
KR101019076B1 (en) 2011-03-07
US20150006108A9 (en) 2015-01-01
TWI259547B (en) 2006-08-01
US20110040527A1 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
WO2003063245A3 (en) Process condition sensing wafer and data analysis system
TW200739780A (en) Process condition sensing wafer and data analysis system
WO2004090679A3 (en) Environmental monitoring device
WO2006067747A3 (en) Method and device for characterization of a magnetic field applied to a magnetic sensor
WO2003105074A3 (en) Apparatus and method for inputting data
WO2007062084A3 (en) Measuring device for conveyor chain
WO2001095305A8 (en) Pointer tool
WO2007096322A3 (en) A system for controlling the position and orientation of an object in dependence on received forces and torques from a user
WO2004051713A3 (en) Integrated process condition sensing wafer and data analysis system
WO2008067244A3 (en) Apparatus and method for monitoring hazardous materials in a processing or other environment
WO2020251931A8 (en) Gas sensor with separate contaminant detection element
WO2003058276A3 (en) A method of an apparatus for processing seismic data and determining a calibration filter
TW200632285A (en) Centralized calibration coefficients for sensor based measurements
EP1266610A3 (en) Method and system for measuring temperature and of adjusting for temperature sensitivity with a medical device having a position sensor
WO2007041280A3 (en) Methods of and apparatuses for measuring electrical parameters of a plasma process
WO1999062314A3 (en) Method and device for linear positioning and position detection of a substrate
WO2007102842A3 (en) Systems and methods for processing analyte sensor data
WO2004023067A3 (en) Proximity detector comprising capacitive sensor
AU2001267712A1 (en) Method and apparatus for monitoring structural fatigue and use
WO2008021179A3 (en) System and method for transferring calibration data
EP1351052A3 (en) Nanocalorimeter apparatus and method for detecting chemical reactions
WO2003068889A8 (en) Profile refinement for integrated circuit metrology
WO2001071035A3 (en) Method and apparatus for automatic pin detection in microarray spotting instruments
WO2004017389A3 (en) Method for performing real time arcing detection
EP1519173A4 (en) Semiconductor force sensor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003563004

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020047011508

Country of ref document: KR

122 Ep: pct application non-entry in european phase