WO2003079415A3 - Methods for fabricating strained layers on semiconductor substrates - Google Patents
Methods for fabricating strained layers on semiconductor substrates Download PDFInfo
- Publication number
- WO2003079415A3 WO2003079415A3 PCT/US2003/008135 US0308135W WO03079415A3 WO 2003079415 A3 WO2003079415 A3 WO 2003079415A3 US 0308135 W US0308135 W US 0308135W WO 03079415 A3 WO03079415 A3 WO 03079415A3
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- methods
- material layers
- strained material
- semiconductor substrates
- impurities
- Prior art date
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/52—Alloys
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02494—Structure
- H01L21/02496—Layer structure
- H01L21/0251—Graded layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/2205—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities from the substrate during epitaxy, e.g. autodoping; Preventing or using autodoping
Abstract
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
AU2003222003A AU2003222003A1 (en) | 2002-03-14 | 2003-03-14 | Methods for fabricating strained layers on semiconductor substrates |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US36473302P | 2002-03-14 | 2002-03-14 | |
US60/364,733 | 2002-03-14 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2003079415A2 WO2003079415A2 (en) | 2003-09-25 |
WO2003079415A3 true WO2003079415A3 (en) | 2004-01-15 |
Family
ID=28041954
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2003/008135 WO2003079415A2 (en) | 2002-03-14 | 2003-03-14 | Methods for fabricating strained layers on semiconductor substrates |
Country Status (3)
Country | Link |
---|---|
US (2) | US7060632B2 (en) |
AU (1) | AU2003222003A1 (en) |
WO (1) | WO2003079415A2 (en) |
Families Citing this family (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6993222B2 (en) | 1999-03-05 | 2006-01-31 | Rj Mears, Llc | Optical filter device with aperiodically arranged grating elements |
GB2385943B (en) | 1999-03-05 | 2003-11-05 | Nanovis Llc | Mach-Zehnder interferometer with aperiodic grating |
US7041170B2 (en) * | 1999-09-20 | 2006-05-09 | Amberwave Systems Corporation | Method of producing high quality relaxed silicon germanium layers |
US6649480B2 (en) * | 2000-12-04 | 2003-11-18 | Amberwave Systems Corporation | Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs |
US6830976B2 (en) | 2001-03-02 | 2004-12-14 | Amberwave Systems Corproation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6793731B2 (en) * | 2002-03-13 | 2004-09-21 | Sharp Laboratories Of America, Inc. | Method for recrystallizing an amorphized silicon germanium film overlying silicon |
AU2003222003A1 (en) * | 2002-03-14 | 2003-09-29 | Amberwave Systems Corporation | Methods for fabricating strained layers on semiconductor substrates |
US20030227057A1 (en) | 2002-06-07 | 2003-12-11 | Lochtefeld Anthony J. | Strained-semiconductor-on-insulator device structures |
US6995430B2 (en) | 2002-06-07 | 2006-02-07 | Amberwave Systems Corporation | Strained-semiconductor-on-insulator device structures |
KR20050032527A (en) * | 2002-06-19 | 2005-04-07 | 메사추세츠 인스티튜트 오브 테크놀로지 | Ge photodetectors |
US6982474B2 (en) | 2002-06-25 | 2006-01-03 | Amberwave Systems Corporation | Reacted conductive gate electrodes |
FR2892228B1 (en) * | 2005-10-18 | 2008-01-25 | Soitec Silicon On Insulator | METHOD FOR RECYCLING AN EPITAXY DONOR PLATE |
US20090325362A1 (en) * | 2003-01-07 | 2009-12-31 | Nabil Chhaimi | Method of recycling an epitaxied donor wafer |
US20060273299A1 (en) * | 2003-06-26 | 2006-12-07 | Rj Mears, Llc | Method for making a semiconductor device including a dopant blocking superlattice |
US7045813B2 (en) * | 2003-06-26 | 2006-05-16 | Rj Mears, Llc | Semiconductor device including a superlattice with regions defining a semiconductor junction |
US20060243964A1 (en) * | 2003-06-26 | 2006-11-02 | Rj Mears, Llc | Method for making a semiconductor device having a semiconductor-on-insulator configuration and a superlattice |
US20060289049A1 (en) * | 2003-06-26 | 2006-12-28 | Rj Mears, Llc | Semiconductor Device Having a Semiconductor-on-Insulator (SOI) Configuration and Including a Superlattice on a Thin Semiconductor Layer |
US7202494B2 (en) * | 2003-06-26 | 2007-04-10 | Rj Mears, Llc | FINFET including a superlattice |
US7153763B2 (en) | 2003-06-26 | 2006-12-26 | Rj Mears, Llc | Method for making a semiconductor device including band-engineered superlattice using intermediate annealing |
US20070015344A1 (en) * | 2003-06-26 | 2007-01-18 | Rj Mears, Llc | Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions |
US7045377B2 (en) * | 2003-06-26 | 2006-05-16 | Rj Mears, Llc | Method for making a semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction |
US20040266116A1 (en) * | 2003-06-26 | 2004-12-30 | Rj Mears, Llc | Methods of fabricating semiconductor structures having improved conductivity effective mass |
US7033437B2 (en) * | 2003-06-26 | 2006-04-25 | Rj Mears, Llc | Method for making semiconductor device including band-engineered superlattice |
US7586116B2 (en) * | 2003-06-26 | 2009-09-08 | Mears Technologies, Inc. | Semiconductor device having a semiconductor-on-insulator configuration and a superlattice |
US20050282330A1 (en) * | 2003-06-26 | 2005-12-22 | Rj Mears, Llc | Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers |
US7531828B2 (en) * | 2003-06-26 | 2009-05-12 | Mears Technologies, Inc. | Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions |
US6830964B1 (en) * | 2003-06-26 | 2004-12-14 | Rj Mears, Llc | Method for making semiconductor device including band-engineered superlattice |
US7531829B2 (en) * | 2003-06-26 | 2009-05-12 | Mears Technologies, Inc. | Semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance |
US7535041B2 (en) * | 2003-06-26 | 2009-05-19 | Mears Technologies, Inc. | Method for making a semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance |
US20070010040A1 (en) * | 2003-06-26 | 2007-01-11 | Rj Mears, Llc | Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer |
US20070063185A1 (en) * | 2003-06-26 | 2007-03-22 | Rj Mears, Llc | Semiconductor device including a front side strained superlattice layer and a back side stress layer |
US7514328B2 (en) * | 2003-06-26 | 2009-04-07 | Mears Technologies, Inc. | Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween |
US20060292765A1 (en) * | 2003-06-26 | 2006-12-28 | Rj Mears, Llc | Method for Making a FINFET Including a Superlattice |
US20070020860A1 (en) * | 2003-06-26 | 2007-01-25 | Rj Mears, Llc | Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods |
US7612366B2 (en) * | 2003-06-26 | 2009-11-03 | Mears Technologies, Inc. | Semiconductor device including a strained superlattice layer above a stress layer |
US20060231857A1 (en) * | 2003-06-26 | 2006-10-19 | Rj Mears, Llc | Method for making a semiconductor device including a memory cell with a negative differential resistance (ndr) device |
US7531850B2 (en) * | 2003-06-26 | 2009-05-12 | Mears Technologies, Inc. | Semiconductor device including a memory cell with a negative differential resistance (NDR) device |
US7659539B2 (en) | 2003-06-26 | 2010-02-09 | Mears Technologies, Inc. | Semiconductor device including a floating gate memory cell with a superlattice channel |
US7227174B2 (en) * | 2003-06-26 | 2007-06-05 | Rj Mears, Llc | Semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction |
US7598515B2 (en) * | 2003-06-26 | 2009-10-06 | Mears Technologies, Inc. | Semiconductor device including a strained superlattice and overlying stress layer and related methods |
AU2004300982B2 (en) * | 2003-06-26 | 2007-10-25 | Mears Technologies, Inc. | Semiconductor device including MOSFET having band-engineered superlattice |
US7229902B2 (en) * | 2003-06-26 | 2007-06-12 | Rj Mears, Llc | Method for making a semiconductor device including a superlattice with regions defining a semiconductor junction |
US7586165B2 (en) * | 2003-06-26 | 2009-09-08 | Mears Technologies, Inc. | Microelectromechanical systems (MEMS) device including a superlattice |
US20060267130A1 (en) * | 2003-06-26 | 2006-11-30 | Rj Mears, Llc | Semiconductor Device Including Shallow Trench Isolation (STI) Regions with a Superlattice Therebetween |
US20050279991A1 (en) * | 2003-06-26 | 2005-12-22 | Rj Mears, Llc | Semiconductor device including a superlattice having at least one group of substantially undoped layers |
US20070063186A1 (en) * | 2003-06-26 | 2007-03-22 | Rj Mears, Llc | Method for making a semiconductor device including a front side strained superlattice layer and a back side stress layer |
US7491587B2 (en) * | 2003-06-26 | 2009-02-17 | Mears Technologies, Inc. | Method for making a semiconductor device having a semiconductor-on-insulator (SOI) configuration and including a superlattice on a thin semiconductor layer |
US20070020833A1 (en) * | 2003-06-26 | 2007-01-25 | Rj Mears, Llc | Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer |
US20060011905A1 (en) * | 2003-06-26 | 2006-01-19 | Rj Mears, Llc | Semiconductor device comprising a superlattice dielectric interface layer |
US20060220118A1 (en) * | 2003-06-26 | 2006-10-05 | Rj Mears, Llc | Semiconductor device including a dopant blocking superlattice |
US7446002B2 (en) * | 2003-06-26 | 2008-11-04 | Mears Technologies, Inc. | Method for making a semiconductor device comprising a superlattice dielectric interface layer |
KR100605504B1 (en) * | 2003-07-30 | 2006-07-28 | 삼성전자주식회사 | semiconductor device comprising epitaxial layer with low dislocation density and fabricating method of the semiconductor device |
US7564105B2 (en) * | 2004-04-24 | 2009-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Quasi-plannar and FinFET-like transistors on bulk silicon |
US20060113603A1 (en) * | 2004-12-01 | 2006-06-01 | Amberwave Systems Corporation | Hybrid semiconductor-on-insulator structures and related methods |
US7393733B2 (en) | 2004-12-01 | 2008-07-01 | Amberwave Systems Corporation | Methods of forming hybrid fin field-effect transistor structures |
US20060138601A1 (en) * | 2004-12-27 | 2006-06-29 | Memc Electronic Materials, Inc. | Internally gettered heteroepitaxial semiconductor wafers and methods of manufacturing such wafers |
US7494852B2 (en) * | 2005-01-06 | 2009-02-24 | International Business Machines Corporation | Method for creating a Ge-rich semiconductor material for high-performance CMOS circuits |
JP4654710B2 (en) * | 2005-02-24 | 2011-03-23 | 信越半導体株式会社 | Manufacturing method of semiconductor wafer |
EP1763069B1 (en) * | 2005-09-07 | 2016-04-13 | Soitec | Method for forming a semiconductor heterostructure |
US7902046B2 (en) * | 2005-09-19 | 2011-03-08 | The Board Of Trustees Of The Leland Stanford Junior University | Thin buffer layers for SiGe growth on mismatched substrates |
US7656049B2 (en) | 2005-12-22 | 2010-02-02 | Micron Technology, Inc. | CMOS device with asymmetric gate strain |
US20070187667A1 (en) * | 2005-12-22 | 2007-08-16 | Rj Mears, Llc | Electronic device including a selectively polable superlattice |
US7517702B2 (en) * | 2005-12-22 | 2009-04-14 | Mears Technologies, Inc. | Method for making an electronic device including a poled superlattice having a net electrical dipole moment |
JP2007194336A (en) * | 2006-01-18 | 2007-08-02 | Sumco Corp | Method for manufacturing semiconductor wafer |
US7718996B2 (en) * | 2006-02-21 | 2010-05-18 | Mears Technologies, Inc. | Semiconductor device comprising a lattice matching layer |
DE102006020825A1 (en) * | 2006-05-04 | 2007-11-08 | Siltronic Ag | Process for producing a layered structure |
US7648853B2 (en) * | 2006-07-11 | 2010-01-19 | Asm America, Inc. | Dual channel heterostructure |
EP1928020B1 (en) * | 2006-11-30 | 2020-04-22 | Soitec | Method of manufacturing a semiconductor heterostructure |
US7781827B2 (en) | 2007-01-24 | 2010-08-24 | Mears Technologies, Inc. | Semiconductor device with a vertical MOSFET including a superlattice and related methods |
US7928425B2 (en) * | 2007-01-25 | 2011-04-19 | Mears Technologies, Inc. | Semiconductor device including a metal-to-semiconductor superlattice interface layer and related methods |
US7880161B2 (en) * | 2007-02-16 | 2011-02-01 | Mears Technologies, Inc. | Multiple-wavelength opto-electronic device including a superlattice |
US7863066B2 (en) * | 2007-02-16 | 2011-01-04 | Mears Technologies, Inc. | Method for making a multiple-wavelength opto-electronic device including a superlattice |
US7812339B2 (en) * | 2007-04-23 | 2010-10-12 | Mears Technologies, Inc. | Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures |
US20100003828A1 (en) * | 2007-11-28 | 2010-01-07 | Guowen Ding | Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas |
DE102009010883B4 (en) * | 2009-02-27 | 2011-05-26 | Amd Fab 36 Limited Liability Company & Co. Kg | Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process |
CN102184940B (en) * | 2011-03-30 | 2014-01-08 | 清华大学 | Semiconductor structure and forming method thereof |
US8883598B2 (en) * | 2012-03-05 | 2014-11-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin capped channel layers of semiconductor devices and methods of forming the same |
US11043386B2 (en) | 2012-10-26 | 2021-06-22 | Applied Materials, Inc. | Enhanced spatial ALD of metals through controlled precursor mixing |
US9230815B2 (en) | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
WO2015077580A1 (en) | 2013-11-22 | 2015-05-28 | Mears Technologies, Inc. | Semiconductor devices including superlattice depletion layer stack and related methods |
CN106104805B (en) | 2013-11-22 | 2020-06-16 | 阿托梅拉公司 | Vertical semiconductor device including a superlattice punch-through stop layer stack and related methods |
WO2015191561A1 (en) | 2014-06-09 | 2015-12-17 | Mears Technologies, Inc. | Semiconductor devices with enhanced deterministic doping and related methods |
US9722046B2 (en) | 2014-11-25 | 2017-08-01 | Atomera Incorporated | Semiconductor device including a superlattice and replacement metal gate structure and related methods |
WO2016187042A1 (en) | 2015-05-15 | 2016-11-24 | Atomera Incorporated | Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods |
US9721790B2 (en) | 2015-06-02 | 2017-08-01 | Atomera Incorporated | Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control |
US10418273B2 (en) * | 2015-10-13 | 2019-09-17 | Nanyang Technological University | Method of manufacturing a germanium-on-insulator substrate |
US9558939B1 (en) | 2016-01-15 | 2017-01-31 | Atomera Incorporated | Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source |
US10424585B2 (en) | 2016-01-21 | 2019-09-24 | International Business Machines Corporation | Decoupling capacitor on strain relaxation buffer layer |
US9905649B2 (en) | 2016-02-08 | 2018-02-27 | International Business Machines Corporation | Tensile strained nFET and compressively strained pFET formed on strain relaxed buffer |
US9698266B1 (en) | 2016-03-09 | 2017-07-04 | International Business Machines Corporation | Semiconductor device strain relaxation buffer layer |
TWI732846B (en) * | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | Enhanced spatial ald of metals through controlled precursor mixing |
US20210292902A1 (en) * | 2020-03-17 | 2021-09-23 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
EP4220686A1 (en) * | 2022-01-31 | 2023-08-02 | Siltronic AG | Method for depositing a strain relaxed graded buffer layer of silicon germanium on a surface of a substrate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5442205A (en) * | 1991-04-24 | 1995-08-15 | At&T Corp. | Semiconductor heterostructure devices with strained semiconductor layers |
US5891769A (en) * | 1997-04-07 | 1999-04-06 | Motorola, Inc. | Method for forming a semiconductor device having a heteroepitaxial layer |
US6107653A (en) * | 1997-06-24 | 2000-08-22 | Massachusetts Institute Of Technology | Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization |
WO2001022482A1 (en) * | 1999-09-20 | 2001-03-29 | Amberwave Systems Corporation | Method of producing relaxed silicon germanium layers |
WO2001099169A2 (en) * | 2000-06-22 | 2001-12-27 | Massachusetts Institute Of Technology | Etch stop layer system for sige devices |
US20020197803A1 (en) * | 2001-06-21 | 2002-12-26 | Amberwave Systems Corporation | Enhancement of p-type metal-oxide-semiconductor field effect transistors |
Family Cites Families (176)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3935040A (en) * | 1971-10-20 | 1976-01-27 | Harris Corporation | Process for forming monolithic semiconductor display |
US4010045A (en) | 1973-12-13 | 1977-03-01 | Ruehrwein Robert A | Process for production of III-V compound crystals |
JPH0656887B2 (en) | 1982-02-03 | 1994-07-27 | 株式会社日立製作所 | Semiconductor device and manufacturing method thereof |
FR2563377B1 (en) | 1984-04-19 | 1987-01-23 | Commissariat Energie Atomique | METHOD FOR MANUFACTURING AN INSULATING LAYER BURIED IN A SEMICONDUCTOR SUBSTRATE, BY ION IMPLANTATION |
DE3542482A1 (en) | 1985-11-30 | 1987-06-04 | Licentia Gmbh | MODULATION-Doped FIELD EFFECT TRANSISTOR |
US5298452A (en) | 1986-09-12 | 1994-03-29 | International Business Machines Corporation | Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers |
US4987462A (en) * | 1987-01-06 | 1991-01-22 | Texas Instruments Incorporated | Power MISFET |
US5130269A (en) | 1988-04-27 | 1992-07-14 | Fujitsu Limited | Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same |
DE3816358A1 (en) | 1988-05-13 | 1989-11-23 | Eurosil Electronic Gmbh | NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF |
US5250445A (en) | 1988-12-20 | 1993-10-05 | Texas Instruments Incorporated | Discretionary gettering of semiconductor circuits |
US5241197A (en) | 1989-01-25 | 1993-08-31 | Hitachi, Ltd. | Transistor provided with strained germanium layer |
US4997776A (en) * | 1989-03-06 | 1991-03-05 | International Business Machines Corp. | Complementary bipolar transistor structure and method for manufacture |
US5013681A (en) | 1989-09-29 | 1991-05-07 | The United States Of America As Represented By The Secretary Of The Navy | Method of producing a thin silicon-on-insulator layer |
US5202284A (en) | 1989-12-01 | 1993-04-13 | Hewlett-Packard Company | Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2 |
EP0445475B1 (en) | 1990-02-20 | 1998-08-26 | Kabushiki Kaisha Toshiba | Heterojunction bipolar transistor |
US5316958A (en) | 1990-05-31 | 1994-05-31 | International Business Machines Corporation | Method of dopant enhancement in an epitaxial silicon layer by using germanium |
US5158907A (en) | 1990-08-02 | 1992-10-27 | At&T Bell Laboratories | Method for making semiconductor devices with low dislocation defects |
US5155571A (en) | 1990-08-06 | 1992-10-13 | The Regents Of The University Of California | Complementary field effect transistors having strained superlattice structure |
JPH0691249B2 (en) | 1991-01-10 | 1994-11-14 | インターナショナル・ビジネス・マシーンズ・コーポレイション | Modulation-doped MISFET and manufacturing method thereof |
US5240876A (en) | 1991-02-22 | 1993-08-31 | Harris Corporation | Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process |
US5221413A (en) * | 1991-04-24 | 1993-06-22 | At&T Bell Laboratories | Method for making low defect density semiconductor heterostructure and devices made thereby |
CA2062134C (en) | 1991-05-31 | 1997-03-25 | Ibm | Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers |
JPH07187892A (en) | 1991-06-28 | 1995-07-25 | Internatl Business Mach Corp <Ibm> | Silicon and its formation |
US5166084A (en) | 1991-09-03 | 1992-11-24 | Motorola, Inc. | Process for fabricating a silicon on insulator field effect transistor |
US5291439A (en) | 1991-09-12 | 1994-03-01 | International Business Machines Corporation | Semiconductor memory cell and memory array with inversion layer |
FR2681472B1 (en) | 1991-09-18 | 1993-10-29 | Commissariat Energie Atomique | PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL. |
JP3243303B2 (en) * | 1991-10-28 | 2002-01-07 | ゼロックス・コーポレーション | Quantum confined semiconductor light emitting device and method of manufacturing the same |
US5208182A (en) | 1991-11-12 | 1993-05-04 | Kopin Corporation | Dislocation density reduction in gallium arsenide on silicon heterostructures |
US5207864A (en) | 1991-12-30 | 1993-05-04 | Bell Communications Research | Low-temperature fusion of dissimilar semiconductors |
JP3191972B2 (en) | 1992-01-31 | 2001-07-23 | キヤノン株式会社 | Method for manufacturing semiconductor substrate and semiconductor substrate |
US5467305A (en) | 1992-03-12 | 1995-11-14 | International Business Machines Corporation | Three-dimensional direct-write EEPROM arrays and fabrication methods |
US5426069A (en) * | 1992-04-09 | 1995-06-20 | Dalsa Inc. | Method for making silicon-germanium devices using germanium implantation |
US5212110A (en) | 1992-05-26 | 1993-05-18 | Motorola, Inc. | Method for forming isolation regions in a semiconductor device |
US5461250A (en) | 1992-08-10 | 1995-10-24 | International Business Machines Corporation | SiGe thin film or SOI MOSFET and method for making the same |
JPH06140624A (en) | 1992-10-22 | 1994-05-20 | Furukawa Electric Co Ltd:The | Schottky junction element |
US5386132A (en) | 1992-11-02 | 1995-01-31 | Wong; Chun C. D. | Multimedia storage system with highly compact memory device |
US5418743A (en) | 1992-12-07 | 1995-05-23 | Nippon Steel Corporation | Method of writing into non-volatile semiconductor memory |
US5426316A (en) | 1992-12-21 | 1995-06-20 | International Business Machines Corporation | Triple heterojunction bipolar transistor |
US5523592A (en) * | 1993-02-03 | 1996-06-04 | Hitachi, Ltd. | Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same |
JP3093904B2 (en) * | 1993-02-16 | 2000-10-03 | 富士通株式会社 | Method for growing compound semiconductor crystal |
US5346848A (en) | 1993-06-01 | 1994-09-13 | Motorola, Inc. | Method of bonding silicon and III-V semiconductor materials |
US5413679A (en) | 1993-06-30 | 1995-05-09 | The United States Of America As Represented By The Secretary Of The Navy | Method of producing a silicon membrane using a silicon alloy etch stop layer |
US5310451A (en) | 1993-08-19 | 1994-05-10 | International Business Machines Corporation | Method of forming an ultra-uniform silicon-on-insulator layer |
US5792679A (en) | 1993-08-30 | 1998-08-11 | Sharp Microelectronics Technology, Inc. | Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant |
JPH0794420A (en) * | 1993-09-20 | 1995-04-07 | Fujitsu Ltd | Manufacture of compound semiconductor crystal substrate |
US5461243A (en) | 1993-10-29 | 1995-10-24 | International Business Machines Corporation | Substrate for tensilely strained semiconductor |
JP2980497B2 (en) | 1993-11-15 | 1999-11-22 | 株式会社東芝 | Method of manufacturing dielectric-isolated bipolar transistor |
JP2669368B2 (en) | 1994-03-16 | 1997-10-27 | 日本電気株式会社 | Method for manufacturing compound semiconductor laminated structure on Si substrate |
US5534713A (en) * | 1994-05-20 | 1996-07-09 | International Business Machines Corporation | Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers |
US5479033A (en) | 1994-05-27 | 1995-12-26 | Sandia Corporation | Complementary junction heterostructure field-effect transistor |
US6218677B1 (en) | 1994-08-15 | 2001-04-17 | Texas Instruments Incorporated | III-V nitride resonant tunneling |
JP3361922B2 (en) | 1994-09-13 | 2003-01-07 | 株式会社東芝 | Semiconductor device |
FR2725074B1 (en) * | 1994-09-22 | 1996-12-20 | Commissariat Energie Atomique | METHOD FOR MANUFACTURING A STRUCTURE COMPRISING A THIN SEMI-CONDUCTIVE LAYER ON A SUBSTRATE |
US5561302A (en) | 1994-09-26 | 1996-10-01 | Motorola, Inc. | Enhanced mobility MOSFET device and method |
WO1996015550A1 (en) | 1994-11-10 | 1996-05-23 | Lawrence Semiconductor Research Laboratory, Inc. | Silicon-germanium-carbon compositions and processes thereof |
US5548128A (en) | 1994-12-14 | 1996-08-20 | The United States Of America As Represented By The Secretary Of The Air Force | Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates |
US5539214A (en) | 1995-02-06 | 1996-07-23 | Regents Of The University Of California | Quantum bridges fabricated by selective etching of superlattice structures |
US5777347A (en) | 1995-03-07 | 1998-07-07 | Hewlett-Packard Company | Vertical CMOS digital multi-valued restoring logic device |
US5920088A (en) * | 1995-06-16 | 1999-07-06 | Interuniversitair Micro-Electronica Centrum (Imec Vzw) | Vertical MISFET devices |
JP3403877B2 (en) | 1995-10-25 | 2003-05-06 | 三菱電機株式会社 | Semiconductor memory device and manufacturing method thereof |
KR100473901B1 (en) | 1995-12-15 | 2005-08-29 | 코닌클리케 필립스 일렉트로닉스 엔.브이. | Semiconductor Field Effect Device Including SiGe Layer |
FR2744285B1 (en) | 1996-01-25 | 1998-03-06 | Commissariat Energie Atomique | METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE |
FR2747506B1 (en) | 1996-04-11 | 1998-05-15 | Commissariat Energie Atomique | PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS |
US5943560A (en) | 1996-04-19 | 1999-08-24 | National Science Council | Method to fabricate the thin film transistor |
FR2748850B1 (en) * | 1996-05-15 | 1998-07-24 | Commissariat Energie Atomique | PROCESS FOR MAKING A THIN FILM OF SOLID MATERIAL AND APPLICATIONS OF THIS PROCESS |
FR2748851B1 (en) * | 1996-05-15 | 1998-08-07 | Commissariat Energie Atomique | PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL |
JP3217015B2 (en) | 1996-07-18 | 2001-10-09 | インターナショナル・ビジネス・マシーンズ・コーポレーション | Method for forming field effect transistor |
JPH1041400A (en) | 1996-07-26 | 1998-02-13 | Sony Corp | Semiconductor device and manufacture thereof |
US6191432B1 (en) * | 1996-09-02 | 2001-02-20 | Kabushiki Kaisha Toshiba | Semiconductor device and memory device |
US5847419A (en) | 1996-09-17 | 1998-12-08 | Kabushiki Kaisha Toshiba | Si-SiGe semiconductor device and method of fabricating the same |
DE59707274D1 (en) | 1996-09-27 | 2002-06-20 | Infineon Technologies Ag | Integrated CMOS circuit arrangement and method for its production |
US6140687A (en) | 1996-11-28 | 2000-10-31 | Matsushita Electric Industrial Co., Ltd. | High frequency ring gate MOSFET |
US5808344A (en) | 1996-12-13 | 1998-09-15 | International Business Machines Corporation | Single-transistor logic and CMOS inverters |
US5714777A (en) | 1997-02-19 | 1998-02-03 | International Business Machines Corporation | Si/SiGe vertical junction field effect transistor |
EP0867701A1 (en) | 1997-03-28 | 1998-09-30 | Interuniversitair Microelektronica Centrum Vzw | Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer |
US6030887A (en) * | 1998-02-26 | 2000-02-29 | Memc Electronic Materials, Inc. | Flattening process for epitaxial semiconductor wafers |
US5786614A (en) | 1997-04-08 | 1998-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Separated floating gate for EEPROM application |
US6191007B1 (en) | 1997-04-28 | 2001-02-20 | Denso Corporation | Method for manufacturing a semiconductor substrate |
US5906951A (en) * | 1997-04-30 | 1999-05-25 | International Business Machines Corporation | Strained Si/SiGe layers on insulator |
US5994207A (en) * | 1997-05-12 | 1999-11-30 | Silicon Genesis Corporation | Controlled cleavage process using pressurized fluid |
US6033974A (en) | 1997-05-12 | 2000-03-07 | Silicon Genesis Corporation | Method for controlled cleaving process |
DE19720008A1 (en) | 1997-05-13 | 1998-11-19 | Siemens Ag | Integrated CMOS circuit arrangement and method for its production |
US5877070A (en) | 1997-05-31 | 1999-03-02 | Max-Planck Society | Method for the transfer of thin layers of monocrystalline material to a desirable substrate |
US5936274A (en) | 1997-07-08 | 1999-08-10 | Micron Technology, Inc. | High density flash memory |
US6103599A (en) | 1997-07-25 | 2000-08-15 | Silicon Genesis Corporation | Planarizing technique for multilayered substrates |
US5882987A (en) * | 1997-08-26 | 1999-03-16 | International Business Machines Corporation | Smart-cut process for the production of thin semiconductor material films |
JPH1174164A (en) * | 1997-08-27 | 1999-03-16 | Canon Inc | Wafer-processing device, wafer support device, wafer-processing method, and manufacture of wafer |
US6160303A (en) | 1997-08-29 | 2000-12-12 | Texas Instruments Incorporated | Monolithic inductor with guard rings |
US6033995A (en) | 1997-09-16 | 2000-03-07 | Trw Inc. | Inverted layer epitaxial liftoff process |
US5966622A (en) | 1997-10-08 | 1999-10-12 | Lucent Technologies Inc. | Process for bonding crystalline substrates with different crystal lattices |
US5963817A (en) * | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
US6232138B1 (en) | 1997-12-01 | 2001-05-15 | Massachusetts Institute Of Technology | Relaxed InxGa(1-x)as buffers |
US6154475A (en) | 1997-12-04 | 2000-11-28 | The United States Of America As Represented By The Secretary Of The Air Force | Silicon-based strain-symmetrized GE-SI quantum lasers |
JP3447939B2 (en) | 1997-12-10 | 2003-09-16 | 株式会社東芝 | Nonvolatile semiconductor memory and data reading method |
FR2773177B1 (en) | 1997-12-29 | 2000-03-17 | France Telecom | PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED |
US6013134A (en) * | 1998-02-18 | 2000-01-11 | International Business Machines Corporation | Advance integrated chemical vapor deposition (AICVD) for semiconductor devices |
US6153495A (en) | 1998-03-09 | 2000-11-28 | Intersil Corporation | Advanced methods for making semiconductor devices by low temperature direct bonding |
US6291326B1 (en) | 1998-06-23 | 2001-09-18 | Silicon Genesis Corporation | Pre-semiconductor process implant and post-process film separation |
JP2000124092A (en) * | 1998-10-16 | 2000-04-28 | Shin Etsu Handotai Co Ltd | Manufacture of soi wafer by hydrogen-ion implantation stripping method and soi wafer manufactured thereby |
US6369438B1 (en) * | 1998-12-24 | 2002-04-09 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
US6130453A (en) | 1999-01-04 | 2000-10-10 | International Business Machines Corporation | Flash memory structure with floating gate in vertical trench |
US6534381B2 (en) * | 1999-01-08 | 2003-03-18 | Silicon Genesis Corporation | Method for fabricating multi-layered substrates |
US6162688A (en) | 1999-01-14 | 2000-12-19 | Advanced Micro Devices, Inc. | Method of fabricating a transistor with a dielectric underlayer and device incorporating same |
JP4511739B2 (en) | 1999-01-15 | 2010-07-28 | ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア | Polycrystalline silicon germanium films for forming microelectromechanical systems |
US6074919A (en) | 1999-01-20 | 2000-06-13 | Advanced Micro Devices, Inc. | Method of forming an ultrathin gate dielectric |
US6346459B1 (en) * | 1999-02-05 | 2002-02-12 | Silicon Wafer Technologies, Inc. | Process for lift off and transfer of semiconductor devices onto an alien substrate |
US6133799A (en) | 1999-02-25 | 2000-10-17 | International Business Machines Corporation | Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS |
US6350993B1 (en) * | 1999-03-12 | 2002-02-26 | International Business Machines Corporation | High speed composite p-channel Si/SiGe heterostructure for field effect devices |
US6103559A (en) | 1999-03-30 | 2000-08-15 | Amd, Inc. (Advanced Micro Devices) | Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication |
US6251755B1 (en) * | 1999-04-22 | 2001-06-26 | International Business Machines Corporation | High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe |
TW591132B (en) * | 1999-06-17 | 2004-06-11 | Taiwan Semiconductor Mfg | Method of growing SiGe epitaxy |
US6387829B1 (en) * | 1999-06-18 | 2002-05-14 | Silicon Wafer Technologies, Inc. | Separation process for silicon-on-insulator wafer fabrication |
EP1065728B1 (en) * | 1999-06-22 | 2009-04-22 | Panasonic Corporation | Heterojunction bipolar transistors and corresponding fabrication methods |
US6151248A (en) | 1999-06-30 | 2000-11-21 | Sandisk Corporation | Dual floating gate EEPROM cell array with steering gates shared by adjacent cells |
US6355493B1 (en) * | 1999-07-07 | 2002-03-12 | Silicon Wafer Technologies Inc. | Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon |
JP2001036054A (en) * | 1999-07-19 | 2001-02-09 | Mitsubishi Electric Corp | Manufacture of soi substrate |
US6242324B1 (en) * | 1999-08-10 | 2001-06-05 | The United States Of America As Represented By The Secretary Of The Navy | Method for fabricating singe crystal materials over CMOS devices |
US6204529B1 (en) | 1999-08-27 | 2001-03-20 | Hsing Lan Lung | 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate |
JP2001144275A (en) * | 1999-08-27 | 2001-05-25 | Shin Etsu Handotai Co Ltd | Method for producing bonding soi wafer and bonding soi wafer |
US6235567B1 (en) | 1999-08-31 | 2001-05-22 | International Business Machines Corporation | Silicon-germanium bicmos on soi |
US6339232B1 (en) * | 1999-09-20 | 2002-01-15 | Kabushika Kaisha Toshiba | Semiconductor device |
US6368938B1 (en) * | 1999-10-05 | 2002-04-09 | Silicon Wafer Technologies, Inc. | Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate |
US6523015B1 (en) * | 1999-10-14 | 2003-02-18 | Kxen | Robust modeling |
US6249022B1 (en) | 1999-10-22 | 2001-06-19 | United Microelectronics Corp. | Trench flash memory with nitride spacers for electron trapping |
US6591321B1 (en) * | 1999-11-09 | 2003-07-08 | International Business Machines Corporation | Multiprocessor system bus protocol with group addresses, responses, and priorities |
US6690043B1 (en) * | 1999-11-26 | 2004-02-10 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing the same |
US6352909B1 (en) * | 2000-01-06 | 2002-03-05 | Silicon Wafer Technologies, Inc. | Process for lift-off of a layer from a substrate |
US6271726B1 (en) | 2000-01-10 | 2001-08-07 | Conexant Systems, Inc. | Wideband, variable gain amplifier |
US6602613B1 (en) * | 2000-01-20 | 2003-08-05 | Amberwave Systems Corporation | Heterointegration of materials using deposition and bonding |
US6750130B1 (en) * | 2000-01-20 | 2004-06-15 | Amberwave Systems Corporation | Heterointegration of materials using deposition and bonding |
US6346453B1 (en) * | 2000-01-27 | 2002-02-12 | Sige Microsystems Inc. | Method of producing a SI-GE base heterojunction bipolar device |
US6344417B1 (en) * | 2000-02-18 | 2002-02-05 | Silicon Wafer Technologies | Method for micro-mechanical structures |
US6261929B1 (en) | 2000-02-24 | 2001-07-17 | North Carolina State University | Methods of forming a plurality of semiconductor layers using spaced trench arrays |
KR100392166B1 (en) * | 2000-03-17 | 2003-07-22 | 가부시끼가이샤 도시바 | Semiconductor device and method for manufacturing the same |
US6555839B2 (en) * | 2000-05-26 | 2003-04-29 | Amberwave Systems Corporation | Buried channel strained silicon FET using a supply layer created through ion implantation |
WO2002013262A2 (en) * | 2000-08-07 | 2002-02-14 | Amberwave Systems Corporation | Gate technology for strained surface channel and strained buried channel mosfet devices |
US6573126B2 (en) * | 2000-08-16 | 2003-06-03 | Massachusetts Institute Of Technology | Process for producing semiconductor article using graded epitaxial growth |
US6524935B1 (en) * | 2000-09-29 | 2003-02-25 | International Business Machines Corporation | Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique |
US6649480B2 (en) * | 2000-12-04 | 2003-11-18 | Amberwave Systems Corporation | Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs |
US20020100942A1 (en) * | 2000-12-04 | 2002-08-01 | Fitzgerald Eugene A. | CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs |
JP4708577B2 (en) * | 2001-01-31 | 2011-06-22 | キヤノン株式会社 | Method for manufacturing thin film semiconductor device |
US6410371B1 (en) * | 2001-02-26 | 2002-06-25 | Advanced Micro Devices, Inc. | Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer |
US6445016B1 (en) * | 2001-02-28 | 2002-09-03 | Advanced Micro Devices, Inc. | Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation |
US6677192B1 (en) * | 2001-03-02 | 2004-01-13 | Amberwave Systems Corporation | Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits |
US6703688B1 (en) * | 2001-03-02 | 2004-03-09 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6724008B2 (en) * | 2001-03-02 | 2004-04-20 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6723661B2 (en) * | 2001-03-02 | 2004-04-20 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6770134B2 (en) * | 2001-05-24 | 2004-08-03 | Applied Materials, Inc. | Method for fabricating waveguides |
US6514836B2 (en) * | 2001-06-04 | 2003-02-04 | Rona Elizabeth Belford | Methods of producing strained microelectronic and/or optical integrated and discrete devices |
US6593625B2 (en) * | 2001-06-12 | 2003-07-15 | International Business Machines Corporation | Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing |
JP2003031495A (en) * | 2001-07-12 | 2003-01-31 | Hitachi Ltd | Manufacturing method of semiconductor device substrate and semiconductor device |
US6730551B2 (en) * | 2001-08-06 | 2004-05-04 | Massachusetts Institute Of Technology | Formation of planar strained layers |
US6974735B2 (en) * | 2001-08-09 | 2005-12-13 | Amberwave Systems Corporation | Dual layer Semiconductor Devices |
WO2003028106A2 (en) * | 2001-09-24 | 2003-04-03 | Amberwave Systems Corporation | Rf circuits including transistors having strained material layers |
US6621131B2 (en) * | 2001-11-01 | 2003-09-16 | Intel Corporation | Semiconductor transistor having a stressed channel |
KR100442105B1 (en) * | 2001-12-03 | 2004-07-27 | 삼성전자주식회사 | Method of forming soi type substrate |
US6515335B1 (en) * | 2002-01-04 | 2003-02-04 | International Business Machines Corporation | Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same |
US6805962B2 (en) * | 2002-01-23 | 2004-10-19 | International Business Machines Corporation | Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications |
AU2003222003A1 (en) * | 2002-03-14 | 2003-09-29 | Amberwave Systems Corporation | Methods for fabricating strained layers on semiconductor substrates |
US7494901B2 (en) * | 2002-04-05 | 2009-02-24 | Microng Technology, Inc. | Methods of forming semiconductor-on-insulator constructions |
US7074623B2 (en) * | 2002-06-07 | 2006-07-11 | Amberwave Systems Corporation | Methods of forming strained-semiconductor-on-insulator finFET device structures |
US6995430B2 (en) * | 2002-06-07 | 2006-02-07 | Amberwave Systems Corporation | Strained-semiconductor-on-insulator device structures |
US6680240B1 (en) * | 2002-06-25 | 2004-01-20 | Advanced Micro Devices, Inc. | Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide |
US6953736B2 (en) * | 2002-07-09 | 2005-10-11 | S.O.I.Tec Silicon On Insulator Technologies S.A. | Process for transferring a layer of strained semiconductor material |
US7535100B2 (en) * | 2002-07-12 | 2009-05-19 | The United States Of America As Represented By The Secretary Of The Navy | Wafer bonding of thinned electronic materials and circuits to high performance substrates |
US7473947B2 (en) * | 2002-07-12 | 2009-01-06 | Intel Corporation | Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby |
US6841457B2 (en) * | 2002-07-16 | 2005-01-11 | International Business Machines Corporation | Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion |
US6828632B2 (en) * | 2002-07-18 | 2004-12-07 | Micron Technology, Inc. | Stable PD-SOI devices and methods |
US20040012037A1 (en) * | 2002-07-18 | 2004-01-22 | Motorola, Inc. | Hetero-integration of semiconductor materials on silicon |
US6835633B2 (en) * | 2002-07-24 | 2004-12-28 | International Business Machines Corporation | SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer |
US7427538B2 (en) * | 2002-08-16 | 2008-09-23 | Intel Corporation | Semiconductor on insulator apparatus and method |
JP3506694B1 (en) * | 2002-09-02 | 2004-03-15 | 沖電気工業株式会社 | MOSFET device and manufacturing method thereof |
JP2004103855A (en) * | 2002-09-10 | 2004-04-02 | Canon Inc | Substrate and its manufacturing method |
JP2004103946A (en) * | 2002-09-11 | 2004-04-02 | Canon Inc | Substrate and its manufacturing method |
US6759712B2 (en) * | 2002-09-12 | 2004-07-06 | Micron Technology, Inc. | Semiconductor-on-insulator thin film transistor constructions |
US6707106B1 (en) * | 2002-10-18 | 2004-03-16 | Advanced Micro Devices, Inc. | Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer |
-
2003
- 2003-03-14 AU AU2003222003A patent/AU2003222003A1/en not_active Abandoned
- 2003-03-14 WO PCT/US2003/008135 patent/WO2003079415A2/en not_active Application Discontinuation
- 2003-03-14 US US10/389,003 patent/US7060632B2/en not_active Expired - Lifetime
-
2006
- 2006-02-27 US US11/362,892 patent/US7259108B2/en not_active Expired - Lifetime
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5442205A (en) * | 1991-04-24 | 1995-08-15 | At&T Corp. | Semiconductor heterostructure devices with strained semiconductor layers |
US5891769A (en) * | 1997-04-07 | 1999-04-06 | Motorola, Inc. | Method for forming a semiconductor device having a heteroepitaxial layer |
US6107653A (en) * | 1997-06-24 | 2000-08-22 | Massachusetts Institute Of Technology | Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization |
WO2001022482A1 (en) * | 1999-09-20 | 2001-03-29 | Amberwave Systems Corporation | Method of producing relaxed silicon germanium layers |
WO2001099169A2 (en) * | 2000-06-22 | 2001-12-27 | Massachusetts Institute Of Technology | Etch stop layer system for sige devices |
US20020197803A1 (en) * | 2001-06-21 | 2002-12-26 | Amberwave Systems Corporation | Enhancement of p-type metal-oxide-semiconductor field effect transistors |
Non-Patent Citations (12)
Title |
---|
CHENG Z-Y ET AL: "ELECTRON MOBILITY ENHANCEMENT IN STRAINED-SI N-MOSFETS FABRICATED ON SIGE-ON-INSULATOR (SGOI)", IEEE ELECTRON DEVICE LETTERS, IEEE INC. NEW YORK, US, vol. 22, no. 7, July 2001 (2001-07-01), pages 321 - 323, XP001099971, ISSN: 0741-3106 * |
CURRIE M T ET AL: "CARRIER MOBILITIES AND PROCESS STABILITY OF STRAINED SI N- AND P-MOSFETS ON SIGE VIRTUAL SUBSTRATES", JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B: MICROELECTRONICS PROCESSING AND PHENOMENA, AMERICAN VACUUM SOCIETY, NEW YORK, NY, US, vol. 19, no. 6, November 2001 (2001-11-01), pages 2268 - 2279, XP001079505, ISSN: 0734-211X * |
HOECK G ET AL: "HIGH HOLE MOBILITY IN SI0.17GE0.83 CHANNEL METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTORS GROWN BY PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 76, no. 26, 26 June 2000 (2000-06-26), pages 3920 - 3922, XP000963363, ISSN: 0003-6951 * |
KUMMER M ET AL: "Low energy plasma enhanced chemical vapor deposition", MATERIALS SCIENCE AND ENGINEERING B, ELSEVIER SEQUOIA, LAUSANNE, CH, vol. 89, no. 1-3, 14 February 2002 (2002-02-14), pages 288 - 295, XP004334416, ISSN: 0921-5107 * |
LEE M J ET AL: "STRAINED GE CHANNEL P-TYPE METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTOR GROWN ON SI1-XGEX/SI VIRTUAL SUBSTRATES", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 79, no. 20, 12 November 2001 (2001-11-12), pages 3344 - 3346, XP001079918, ISSN: 0003-6951 * |
LEITZ C W ET AL: "HOLE MOBILITY ENHANCEMENTS IN STRAINED SI/SI1-YGEY P-TYPE METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTORS GROWN ON RELAXED SI1-XGEX (X<Y) VIRTUAL SUBSTRATES", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 79, no. 25, 17 December 2001 (2001-12-17), pages 4246 - 4248, XP001091964, ISSN: 0003-6951 * |
MAITI K ET AL: "STRAINED-SI HETEROSTRUCTURE FIELD EFFECT TRANSISTORS", SEMICONDUCTOR SCIENCE AND TECHNOLOGY, INSTITUTE OF PHYSICS. LONDON, GB, vol. 13, no. 11, 1 November 1998 (1998-11-01), pages 1225 - 1246, XP000783138, ISSN: 0268-1242 * |
ROSENBLAD C ET AL: "A plasma process for ultrafast deposition of SiGe graded buffer layers", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 76, no. 4, 24 January 2000 (2000-01-24), pages 427 - 429, XP002200819, ISSN: 0003-6951 * |
SAWANO K ET AL: "Surface smoothing of SiGe strain-relaxed buffer layers by chemical mechanical polishing", MATERIALS SCIENCE AND ENGINEERING B, ELSEVIER SEQUOIA, LAUSANNE, CH, vol. 89, no. 1-3, 14 February 2002 (2002-02-14), pages 406 - 409, XP004334441, ISSN: 0921-5107 * |
SUGII N.: "Thermal stability of the strained-Si/Si/sub 0.7/Ge/sub 0.3/ heterostructure", JOURNAL OF APPLIED PHYSICS, vol. 89, no. 11, pt. 1-2, 1 June 2001 (2001-06-01), pages 6459 - 6463, XP002259048 * |
TAN C S ET AL: "N2O oxidation of strained-Si/relaxed-SiGe heterostructure grown by UHVCVD", SOLID STATE ELECTRONICS, ELSEVIER SCIENCE PUBLISHERS, BARKING, GB, vol. 45, no. 11, November 2001 (2001-11-01), pages 1945 - 1949, XP004308904, ISSN: 0038-1101 * |
TARASCHI G. ET AL.: "Relaxed SiGe-on-insulator fabricated via wafer bonding and etch back", JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, vol. 20, no. 2, March 2002 (2002-03-01), pages 725 - 727, XP002259047 * |
Also Published As
Publication number | Publication date |
---|---|
US7259108B2 (en) | 2007-08-21 |
AU2003222003A1 (en) | 2003-09-29 |
AU2003222003A8 (en) | 2003-09-29 |
US7060632B2 (en) | 2006-06-13 |
WO2003079415A2 (en) | 2003-09-25 |
US20060148225A1 (en) | 2006-07-06 |
US20030215990A1 (en) | 2003-11-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2003079415A3 (en) | Methods for fabricating strained layers on semiconductor substrates | |
WO2003025984A3 (en) | Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same | |
WO2004006633A3 (en) | Integrated circuit including field effect transistor and method of manufacture | |
WO2005050711A3 (en) | A method for fabricating semiconductor devices using strained silicon bearing material | |
TW200601561A (en) | High-performance cmos soi devices on hybrid crystal-oriented substrates | |
WO2003105204A3 (en) | Semiconductor devices having strained dual channel layers | |
EP1434282A3 (en) | Protective layer for an organic thin-film transistor | |
WO2005057631A3 (en) | Planar substrate with selected semiconductor crystal orientations formed by localized amorphzation and recrystallization of stacked template layers | |
WO2006034189A3 (en) | High-mobility bulk silicon pfet | |
WO2006007394A3 (en) | Strained tri-channel layer for semiconductor-based electronic devices | |
EP1830416A3 (en) | Methods of forming semiconductor devices including mesa structures and multiple passivation layers and related devices | |
WO2005104225A3 (en) | Method for forming a semiconductor device having a notched control electrode and structure thereof | |
WO2004010510A3 (en) | Trench cut light emitting diodes and methods of fabricating same | |
WO2004006311A3 (en) | Transfer of a thin layer from a wafer comprising a buffer layer | |
WO2002084739A1 (en) | Thin film-device manufacturing method, and semiconductor device | |
WO2002047168A3 (en) | Cmos inverter circuits utilizing strained silicon surface channel mosfets | |
WO2005050701A8 (en) | Stressed semiconductor device structures having granular semiconductor material | |
WO2000007218A3 (en) | Method for manufacturing a semiconductor device having a metal layer floating over a substrate | |
SG139657A1 (en) | Structure and method to implement dual stressor layers with improved silicide control | |
WO2006044730A3 (en) | Integrated circuit selective scaling | |
WO2004095552A3 (en) | Method for producing a tensioned layer on a substrate, and a layer structure | |
WO2003058723A1 (en) | Organic thin-film transistor and manufacturing method thereof | |
WO2004032257A3 (en) | Film comprising organic semiconductors | |
TW200614395A (en) | Bumping process and structure thereof | |
EP1873838A4 (en) | Semiconductor device and method for manufacturing same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A2 Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
122 | Ep: pct application non-entry in european phase | ||
NENP | Non-entry into the national phase |
Ref country code: JP |
|
WWW | Wipo information: withdrawn in national office |
Country of ref document: JP |