WO2003085515A1 - Technique for reduced-tag dynamic scheduling - Google Patents

Technique for reduced-tag dynamic scheduling Download PDF

Info

Publication number
WO2003085515A1
WO2003085515A1 PCT/US2003/010329 US0310329W WO03085515A1 WO 2003085515 A1 WO2003085515 A1 WO 2003085515A1 US 0310329 W US0310329 W US 0310329W WO 03085515 A1 WO03085515 A1 WO 03085515A1
Authority
WO
WIPO (PCT)
Prior art keywords
tag
operand
instruction
subset
stations
Prior art date
Application number
PCT/US2003/010329
Other languages
French (fr)
Inventor
Daniel J. Ernst
Todd M. Austin
Original Assignee
The Regents Of The University Of Michigan
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The Regents Of The University Of Michigan filed Critical The Regents Of The University Of Michigan
Priority to AU2003223450A priority Critical patent/AU2003223450A1/en
Publication of WO2003085515A1 publication Critical patent/WO2003085515A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3875Pipelining a single stage, e.g. superpipelining

Definitions

  • the present invention generally relates to a dynamic scheduling technique, and more particularly, the present invention relates to a dynamic scheduling technique that uses tag elimination to improve the performance of dynamic scheduling.
  • microprocessor designs In an effort to secure higher levels of system performance, microprocessor designs often employ dynamic scheduling as a technique to extract information level parallelism (ILP) from serial instruction streams.
  • ILP information level parallelism
  • Conventional dynamic scheduler designs house a window of candidate instructions from which ready instructions are sent to functional units in an out-of-order data flow fashion.
  • the instruction window is implemented using large monolithic content addressable memories (CAMs) that track instructions and their input dependencies. While more ILP can be extracted with larger instruction windows (and accordingly larger CAM structures), such an increase in parallelism comes at the expense of slower scheduler clock speed.
  • power dissipation has become an increasing concern in the design of high performance microprocessors. Increasing clock speeds and diminishing voltage margins have combined to produce designs that are increasingly difficult to cool. Additionally, embedded processors are more sensitive to energy usage as these designs are often powered by batteries. The present invention was developed in light of these and other obstacles.
  • the present invention provides a dynamic scheduling scheme that uses reservation stations having at least one station that stores an at least two operand instruction.
  • An allocator portion determines that the instruction, entering the pipeline, has one ready operand and one not-ready operand, and accordingly places it in a station having only one comparator.
  • the one comparator compares the not-ready operand with tags broadcasted on a result tag bus to determine when the not-ready operand becomes ready. Once ready, execution is requested to the corresponding functional unit.
  • the last received operand of the two operand instruction is predicted.
  • the instruction is then scheduled for execution based on the prediction.
  • FIG. 1 is a schematic view of a dynamic scheduler pipeline according to one aspect of the present invention
  • FIG. 2 is a schematic view of a reservation station according to one aspect of the present invention.
  • FIG. 3A is a schematic view of an instruction in a reservation station according to the present invention.
  • FIG. 3B is a schematic view of an instruction in a reservation station according to an aspect of the present invention.
  • FIG. 4 is a schematic view of a dynamic scheduler pipeline according to an aspect of the present invention.
  • FIG. 5 is a schematic view of a last tag predictor according to an aspect of the present invention.
  • FIG. 6 is a schematic view of an instruction in a reservation station according to an aspect of the present invention.
  • the dynamic scheduler pipeline 10 generally includes an allocator 12, scheduler stage 14, register read stage 16 and execution stage 18. Instruction 20 is passed from stage to stage to ultimately result in its execution as described below.
  • the allocator 12 is responsible for reserving all resources necessary to house an instruction in the processor instruction window. These resources include reservation stations 24, reorder buffer entries, and physical registers.
  • Scheduler stage 14 houses instructions in reservation stations 24 until they are ready to execute. Reservation stations 24 track the availability of instruction source operands. When all input operands are available, a request is made to the select logic 32 for execution (as will be described in greater detail).
  • the select logic 32 chooses the next instructions 20 to execute from all ready instructions, based on the scheduler policy of scheduler stage 14.
  • the selected instructions 20 receive a grant signal from the selection logic 32, at which point they are sent to later stages of the pipeline 10.
  • source register tags of an instruction 20 are used to access the register file in the register read stage 16 of the pipeline 10. Operand values read from the register file of the register read stage 16 are forwarded to the appropriate functional unit in the execution stage 18 of the pipeline 10. If a dependent operation immediately follows an instruction, it will read a stale value from the physical register file.
  • a bypass multiplexer is provided in the execution stage 18 to select between the incoming register operand, or a more recent value on the by-pass bus.
  • Dependent instructions that execute in subsequent cycles must communicate via the by-pass bus. All other instructions preferably communicate by way of the physical register file.
  • a reservation station 24 contains two tag comparator entires 26A, one tag comparator entries 26B and zero tag comparator entries 26C.
  • tag comparator entries 26B and 26C the reservations station 24 according to the present invention eliminates the need for extra tag comparisons. Specifically, when allocator 12 encounters an instruction 20 with one or more unavailable operands, the allocator assigns the instruction 20 to a reservation station having a matching number of tag comparators. For example, if instruction 20 enters the reservation station 24 without any operands ready, then the instruction is placed into one of the reservation stations 26A.
  • the instructions 20 enters the reservation station having one ready operand, then the instructions 20 is placed into one of the reservation stations 26B. If all operands are ready, then the instruction 20 is placed into one of the reservation stations 26C. If a reservation station with a sufficient number of tag comparators is not available, due to more instructions than available reservation stations, then allocator 12 stalls the front end pipeline until a reservation station is available. As a result of the scheme of Figure 2, unnecessary tag comparisons on instructions are eliminated.
  • Figures 3A and 3B further describe the data paths and control logic for reservation stations 26A, having no operands ready, and 26B, having one operand ready.
  • instruction 20 is positioned in one of the available reservation stations 26A by the allocator 12.
  • the allocator 12 determines that neither operand SRC 1 nor SRC 2 are ready, and therefore places the instruction 20 in one of these reservation stations.
  • ready bits Rl and R2 are set invalid until the operand is ready.
  • the tag comparators 42a and 42b compare tags of the operands srcl and src2 with tags broadcasted on the result tag bus 30.
  • the select logic 32 sends the instruction 20 to execution by driving its grant signal.
  • the input operand tags srcl and src2 are driven onto an output bus where they are latched for use by the register read stage 16 in the following cycle.
  • the grant signal 36 is latched at the reservation station 26A.
  • the instruction 20 drives its result tag onto the result tag bus 30. If the execution pipeline supports multicycle operations, the result tag broadcast must be delayed by a delay element 38 until the instruction result is produced.
  • This select logic 32 forms the control critical path in the dynamically scheduled pipeline 10.
  • This logic forms a critical speed path in most regressive designs because it limits the rate at which instructions can begin execution.
  • This critical path includes the result tag driver 40, the result tag bus 30 interconnect, reservation station comparators 42A and 42B, the select logic 32 and the grant signal 36 interconnect.
  • Figure 3B illustrates reservations stations 26B which are dedicated for instructions 20 having one ready operand when they enter the reservation station. Therefore, they do not need to have both tag comparators to compare both tags to the result tag bus 30 as did instructions 20 in Figure 3A. Accordingly, reservation stations 26B include only one tag comparator 42A.
  • allocator 12 identifies that operand src2, in this particular example, is ready. Therefore, the R2 bit is set as ready when the allocator places the instruction in the scheduler stage 14 and the operand srcl is positioned to allow the tag comparator 42A to snoop the result tag bus and determine when srcl is ready.
  • the tag comparator 42A compares only the value srcl with the tags broadcasted on the result tag bus 32 to determine when srcl is ready. Once the tag comparator 42A determines that the value srcl is ready, the ready bit Rl is set. Then, the execution is requested for the ready instruction 20.
  • the source operand register tags are again driven out to the register stage of the pipeline 10.
  • the instructions having ready values for both src 1 and src2 are loaded into these reservations stations by the allocator 12, which contains no tag comparators. As such, these instructions immediately request execution upon entry into the reservation station, and await permission to execute. [0024] Accordingly, the allocator 12 assigns instructions to a reservation station 24 having a matching number of tag comparators. If both operands srcl and src2 are ready, the instruction 20 is placed into the reservation station 26C without tag comparators and immediately request execution.
  • the allocator 12 assigns the instruction through reservation stations with more tag comparators. For example, instructions 20 waiting for one operand can be assigned to reservation stations 26A or 26B with one or two tag comparators. Of course, if a reservation station with a sufficient number of tag comparators is not available, the allocator will stall the front end pipeline 10 until one becomes available. It should be noted that the scheme depicted in Figure 2 may be altered to include more or less of any of the reservation station type 26A-26C. In a preferred embodiment of the present invention, the reservation station 24 includes two entries for two tag comparisons, four entries for one tag comparisons (each block counts as one tag comparison), and the remaining entries for ready instructions.
  • FIGs 4, 5 and 6, another embodiment of the present invention is shown and described.
  • the embodiments of Figures 4-6 include a process for reducing the tag reservation stations by use of a last tag predictor.
  • the reservation station is partitioned into stations having one tag comparator.
  • allocator 12 accesses the last tag predictor 52 upon receiving instructions 20 with multiple available operands and inserts the instruction 20 into a reservation station 24 with a single tag comparator 50 (see Fig. 6).
  • the last tag predictor 52 predicts which operand srcL or srcF, will be available last. If the prediction is correct, the instruction wakes up at the same time it would have in a window without speculation.
  • the last tag predictor 52 is indexed with the PC of an instruction 20 (with multiple unavailable operands) hashed with global control history.
  • the control history is XOR'ed at 227 with the least significant bits of the instruction PC and that result is used as an index into a table 229 of two-bit saturating counters.
  • the value of the upper counter bit indicates the prediction: one indicates the left operand will arrive last, zero indicates the right operand will arrive last.
  • the predictors are updated when last tag predictions are validated. If the prediction is incorrect, the instruction wakes up before all operands are ready, and a mis-speculation recovery sequence is initiated.
  • the operation of the last tag predictor is described in greater detail.
  • the input operand tags are loaded into the reservation station with the operand predicted to be available last is placed under the comparator 50.
  • the operand predicted to be available last is the srcL value.
  • the other input operand tag srcF and the result tag are also loaded into the reservation station 24A.
  • the drive operation which drives the operand register tags srcL and srcF out onto the register read stage 16 of the pipeline 10 must be driven through the multiplexers 56 to sort the source operands srcL and srcF into the original instruction order, which is the format used by the register file and later functional units.
  • the tag predicted to arrive first is forwarded to the register read stage 16, where it is used to check the correctness of the last tag prediction.
  • the last tag prediction is validated to ensure that the instruction does not commence execution before all of its operands srcL and srcF are available.
  • a prediction is validated if the operand predicted to arrive first (srcF) is available when the instruction enters the register read stage 16 of the pipeline 10.
  • the srcF tag is used to probe a small register scoreboard or RDY 58.
  • RDY 58 contains one bit per physical register, where bits are set if the register value is valid in the physical register file.
  • RDY 18 is available in the allocator 12 stage of the pipeline 10 where it is used to determine if the valid bit should be set when operand tags are written into reservation stations 24. A number of ports equal to the issue with RDY 18 will suffice for validating last tag predictions. Alternatively, an additional RDY 18 can be maintained specifically for last tag prediction validation.

Abstract

The present invention provides a dynamic scheduling scheme that uses reservation stations (24) having at least one station that stores an at least two operand instruction (20). An allocator portion (12) determines that the instruction (20), entering the pipeline (10), has one ready operand and one not-ready operand, and accordingly places it in a station having only one comparator (42). The one comparator (42) then compares the not-ready operand with tags broadcasted on a result tag bus (30) to determine when the not-ready operand becomes ready. Once ready, execution is requested to the corresponding functional unit (32).

Description

TECHNIQUE FOR REDUCED-TAG DYNAMIC SCHEDULING
FIELD OF THE INVENTION
[0001] The present invention generally relates to a dynamic scheduling technique, and more particularly, the present invention relates to a dynamic scheduling technique that uses tag elimination to improve the performance of dynamic scheduling.
BACKGROUND OF THE INVENTION
[0002] In an effort to secure higher levels of system performance, microprocessor designs often employ dynamic scheduling as a technique to extract information level parallelism (ILP) from serial instruction streams. Conventional dynamic scheduler designs house a window of candidate instructions from which ready instructions are sent to functional units in an out-of-order data flow fashion. The instruction window is implemented using large monolithic content addressable memories (CAMs) that track instructions and their input dependencies. While more ILP can be extracted with larger instruction windows (and accordingly larger CAM structures), such an increase in parallelism comes at the expense of slower scheduler clock speed. [0003] In addition to performance, power dissipation has become an increasing concern in the design of high performance microprocessors. Increasing clock speeds and diminishing voltage margins have combined to produce designs that are increasingly difficult to cool. Additionally, embedded processors are more sensitive to energy usage as these designs are often powered by batteries. The present invention was developed in light of these and other obstacles.
SUMMARY OF THE INVENTION
[0004] To address these and other drawbacks, the present invention provides a dynamic scheduling scheme that uses reservation stations having at least one station that stores an at least two operand instruction. An allocator portion determines that the instruction, entering the pipeline, has one ready operand and one not-ready operand, and accordingly places it in a station having only one comparator. The one comparator then compares the not-ready operand with tags broadcasted on a result tag bus to determine when the not-ready operand becomes ready. Once ready, execution is requested to the corresponding functional unit.
[0005] In another aspect of the invention, the last received operand of the two operand instruction is predicted. The instruction is then scheduled for execution based on the prediction.
[0006] Other aspects of the invention will be apparent to those skilled in the art after reviewing the drawings and the detailed description below.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] The present invention will now be described, by way of example, with reference to the accompanying drawings, in which:
[0008] FIG. 1 is a schematic view of a dynamic scheduler pipeline according to one aspect of the present invention;
[0009] FIG. 2 is a schematic view of a reservation station according to one aspect of the present invention;
[0010] FIG. 3A is a schematic view of an instruction in a reservation station according to the present invention;
[0011] FIG. 3B is a schematic view of an instruction in a reservation station according to an aspect of the present invention;
[0012] FIG. 4 is a schematic view of a dynamic scheduler pipeline according to an aspect of the present invention;
[0013] FIG. 5 is a schematic view of a last tag predictor according to an aspect of the present invention;
[0014] FIG. 6 is a schematic view of an instruction in a reservation station according to an aspect of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
[0015] It is to be understood that the present invention may be embodied in other specific forms without departing from its essential characteristics. The illustrated and described embodiments are to be considered in all respects only as illustrative and not restrictive. The scope of the invention is, therefore, indicated by the appended claims rather than by the foregoing description. All changes that come within the meaning and range of equivalency of the claims are to be embraced within their scope. [0016] The present invention is based on most tag scheduler comparisons being superfluous to correct operation of the instruction scheduler. Specifically, most instructions placed into the instruction window do not require two source tag comparators due to one or more operands being ready, or because the operation doesn't require two register operands.
[0017] Referring now to Figure 1, a dynamic scheduler pipeline 10 according to an aspect of the present invention is shown and described. The dynamic scheduler pipeline 10 generally includes an allocator 12, scheduler stage 14, register read stage 16 and execution stage 18. Instruction 20 is passed from stage to stage to ultimately result in its execution as described below.
[0018] The allocator 12 is responsible for reserving all resources necessary to house an instruction in the processor instruction window. These resources include reservation stations 24, reorder buffer entries, and physical registers. Scheduler stage 14 houses instructions in reservation stations 24 until they are ready to execute. Reservation stations 24 track the availability of instruction source operands. When all input operands are available, a request is made to the select logic 32 for execution (as will be described in greater detail). The select logic 32 chooses the next instructions 20 to execute from all ready instructions, based on the scheduler policy of scheduler stage 14. The selected instructions 20 receive a grant signal from the selection logic 32, at which point they are sent to later stages of the pipeline 10.
[0019] Once granted execution, source register tags of an instruction 20 are used to access the register file in the register read stage 16 of the pipeline 10. Operand values read from the register file of the register read stage 16 are forwarded to the appropriate functional unit in the execution stage 18 of the pipeline 10. If a dependent operation immediately follows an instruction, it will read a stale value from the physical register file. A bypass multiplexer is provided in the execution stage 18 to select between the incoming register operand, or a more recent value on the by-pass bus. Dependent instructions that execute in subsequent cycles must communicate via the by-pass bus. All other instructions preferably communicate by way of the physical register file.
[0020] Referring now to Figures 2, 3A and 3B, reservations stations 24 according to the dynamic scheduler pipeline 10 are described in greater detail. In Figure 2, a reservation station 24 contains two tag comparator entires 26A, one tag comparator entries 26B and zero tag comparator entries 26C. By defining tag comparator entries 26B and 26C, the reservations station 24 according to the present invention eliminates the need for extra tag comparisons. Specifically, when allocator 12 encounters an instruction 20 with one or more unavailable operands, the allocator assigns the instruction 20 to a reservation station having a matching number of tag comparators. For example, if instruction 20 enters the reservation station 24 without any operands ready, then the instruction is placed into one of the reservation stations 26A. Likewise, if the instruction 20 enters the reservation station having one ready operand, then the instructions 20 is placed into one of the reservation stations 26B. If all operands are ready, then the instruction 20 is placed into one of the reservation stations 26C. If a reservation station with a sufficient number of tag comparators is not available, due to more instructions than available reservation stations, then allocator 12 stalls the front end pipeline until a reservation station is available. As a result of the scheme of Figure 2, unnecessary tag comparisons on instructions are eliminated.
[0021] Figures 3A and 3B further describe the data paths and control logic for reservation stations 26A, having no operands ready, and 26B, having one operand ready. In Figure 3A, instruction 20 is positioned in one of the available reservation stations 26A by the allocator 12. The allocator 12 determines that neither operand SRC 1 nor SRC 2 are ready, and therefore places the instruction 20 in one of these reservation stations. Here, ready bits Rl and R2 are set invalid until the operand is ready. To ascertain when either operand srcl or src2 is ready, the tag comparators 42a and 42b compare tags of the operands srcl and src2 with tags broadcasted on the result tag bus 30. Specifically, when general instructions are nearing the completion of their execution, they broadcast their result tag onto the result tag bus 30. The reservation stations 26A thus snoop the result tag bus 30, via the tag comparators 42a and 42b, waiting for a tag to appear that matches either of their source operand tags srcl or src2. If a match if found, the ready bit Rl or R2 of the matching operand tag is set. When a valid reservation station has both operand srcl and src2 marked ready via ready bit Rl and R2, a request for execution is sent to the select logic 32. The select logic 32 grants the execution quest if the appropriate functional unit is available and a requesting instruction 20 has the highest priority among instructions that are ready to execute. If so, the select logic 32 sends the instruction 20 to execution by driving its grant signal. The input operand tags srcl and src2 are driven onto an output bus where they are latched for use by the register read stage 16 in the following cycle. In addition, the grant signal 36 is latched at the reservation station 26A. In the subsequent cycle, the instruction 20 drives its result tag onto the result tag bus 30. If the execution pipeline supports multicycle operations, the result tag broadcast must be delayed by a delay element 38 until the instruction result is produced.
[0022] This select logic 32 forms the control critical path in the dynamically scheduled pipeline 10. Thus, this logic forms a critical speed path in most regressive designs because it limits the rate at which instructions can begin execution. This critical path includes the result tag driver 40, the result tag bus 30 interconnect, reservation station comparators 42A and 42B, the select logic 32 and the grant signal 36 interconnect. [0023] Figure 3B illustrates reservations stations 26B which are dedicated for instructions 20 having one ready operand when they enter the reservation station. Therefore, they do not need to have both tag comparators to compare both tags to the result tag bus 30 as did instructions 20 in Figure 3A. Accordingly, reservation stations 26B include only one tag comparator 42A. In this example, allocator 12 identifies that operand src2, in this particular example, is ready. Therefore, the R2 bit is set as ready when the allocator places the instruction in the scheduler stage 14 and the operand srcl is positioned to allow the tag comparator 42A to snoop the result tag bus and determine when srcl is ready. The tag comparator 42A compares only the value srcl with the tags broadcasted on the result tag bus 32 to determine when srcl is ready. Once the tag comparator 42A determines that the value srcl is ready, the ready bit Rl is set. Then, the execution is requested for the ready instruction 20. When the instruction is granted permission to execute, the source operand register tags are again driven out to the register stage of the pipeline 10. With regard to remaining reservation stations 26C, the instructions having ready values for both src 1 and src2 are loaded into these reservations stations by the allocator 12, which contains no tag comparators. As such, these instructions immediately request execution upon entry into the reservation station, and await permission to execute. [0024] Accordingly, the allocator 12 assigns instructions to a reservation station 24 having a matching number of tag comparators. If both operands srcl and src2 are ready, the instruction 20 is placed into the reservation station 26C without tag comparators and immediately request execution. If there are no available reservation stations having a matching number of tag comparators, the allocator 12 assigns the instruction through reservation stations with more tag comparators. For example, instructions 20 waiting for one operand can be assigned to reservation stations 26A or 26B with one or two tag comparators. Of course, if a reservation station with a sufficient number of tag comparators is not available, the allocator will stall the front end pipeline 10 until one becomes available. It should be noted that the scheme depicted in Figure 2 may be altered to include more or less of any of the reservation station type 26A-26C. In a preferred embodiment of the present invention, the reservation station 24 includes two entries for two tag comparisons, four entries for one tag comparisons (each block counts as one tag comparison), and the remaining entries for ready instructions.
[0025] Referring now to Figures 4, 5 and 6, another embodiment of the present invention is shown and described. The embodiments of Figures 4-6 include a process for reducing the tag reservation stations by use of a last tag predictor. In this embodiment, the reservation station is partitioned into stations having one tag comparator. Here, as shown in Figure 4, allocator 12 accesses the last tag predictor 52 upon receiving instructions 20 with multiple available operands and inserts the instruction 20 into a reservation station 24 with a single tag comparator 50 (see Fig. 6). The last tag predictor 52 predicts which operand srcL or srcF, will be available last. If the prediction is correct, the instruction wakes up at the same time it would have in a window without speculation. As shown in Figure 5, the last tag predictor 52 is indexed with the PC of an instruction 20 (with multiple unavailable operands) hashed with global control history. The control history is XOR'ed at 227 with the least significant bits of the instruction PC and that result is used as an index into a table 229 of two-bit saturating counters. The value of the upper counter bit indicates the prediction: one indicates the left operand will arrive last, zero indicates the right operand will arrive last. The predictors are updated when last tag predictions are validated. If the prediction is incorrect, the instruction wakes up before all operands are ready, and a mis-speculation recovery sequence is initiated.
[0026] With reference to Figure 6, the operation of the last tag predictor is described in greater detail. In Figure 6, the input operand tags are loaded into the reservation station with the operand predicted to be available last is placed under the comparator 50. In the present example, the operand predicted to be available last is the srcL value. The other input operand tag srcF and the result tag are also loaded into the reservation station 24A. Once the instruction requests execution and execution is granted, the instruction undergoes a similar process as described previously for execution. However, in addition, the drive operation which drives the operand register tags srcL and srcF out onto the register read stage 16 of the pipeline 10 must be driven through the multiplexers 56 to sort the source operands srcL and srcF into the original instruction order, which is the format used by the register file and later functional units. In addition, the tag predicted to arrive first is forwarded to the register read stage 16, where it is used to check the correctness of the last tag prediction.
[0027] The last tag prediction is validated to ensure that the instruction does not commence execution before all of its operands srcL and srcF are available. A prediction is validated if the operand predicted to arrive first (srcF) is available when the instruction enters the register read stage 16 of the pipeline 10. In parallel with the register file access in register read stage 16, the srcF tag is used to probe a small register scoreboard or RDY 58. RDY 58 contains one bit per physical register, where bits are set if the register value is valid in the physical register file. RDY 18 is available in the allocator 12 stage of the pipeline 10 where it is used to determine if the valid bit should be set when operand tags are written into reservation stations 24. A number of ports equal to the issue with RDY 18 will suffice for validating last tag predictions. Alternatively, an additional RDY 18 can be maintained specifically for last tag prediction validation.
[0028] If the prediction is correct, the instruction 20 continues through the scheduler pipeline 10 as the scheduler has made the correct scheduling decision. If the prediction is incorrect, the scheduler pipeline is flushed and restarted, in a fashion identical to latency mispredictions. Unlike latency mispredictions, however, which are detected in memory with a three cycle penalty, last tag mispredictions can be detected before the execution stage 18, and thus only cause one cycle to bubble in the scheduler pipeline 10. [0029] While the present invention has been particularly shown and described with reference to the foregoing preferred and alternative embodiments, it should be understood by those skilled in the art that various alternatives to the embodiments of the invention described herein may be employed in practicing the invention without departing from the spirit and scope of the invention as defined in the following claims. It is intended that the following claims define the scope of the invention and that the method and apparatus within the scope of these claims and their equivalents be covered thereby. This description of the invention should be understood to include all novel and non-obvious combinations of elements described herein, and claims may be presented in this or a later application to any novel and non-obvious combination of these elements. The foregoing embodiments are illustrative, and no single feature or element is essential to all possible combinations that may be claimed in this or a later application. Where the claims recite "a" or "a first" element of the equivalent thereof, such claims should be understood to include incorporation of one or more such elements, neither requiring nor excluding two or more such elements.

Claims

CLAIMSWhat is claimed as new and desired to be protected by Letters Patent of the United States is:
1. A reservation station comprising: at least a first station adapted to maintain an instruction having at least two operands; one tag comparator adapted to communicate with a result tag bus and one of the two operands to determine whether the one of the two operands is available or not.
2. The reservation station according to claim 1, wherein the reservation station includes a plurality of stations.
3. The reservation station according to claim 2, wherein: a first subset of the stations comprises two tag comparators, each of the first subset adapted to compare tags of two respective operands of an instruction in the first subset with tags broadcasted on the result tag bus to determine if the two respective operands of the instruction in the first subset are ready or not; a second subset of the stations, wherein each of the second subset comprises a tag comparator adapted to compare a tag of one of two operands of an instruction in the second subset with the tags broadcasted on the result tag bus; a third subset of the stations, each of the third subset comprising zero tag comparators to compare operands of an instruction in the third subset with tags broadcasted on the result tag bus.
4. The registration station according to claim 3, wherein: the first subset of stations comprises two stations; the second subset of stations comprises four stations; and the third subset of stations comprises eight stations.
5. The reservation station according to claim 2, wherein each of the plurality of stations has no more than one tag comparator.
6. A dynamic scheduler pipeline comprising: a reservation station having a plurality of stations for storing instructions; an allocator that positions instructions into the stations; wherein at least one of the stations has less than two tag comparators for comparing an operand of an at least two operand instruction with tags broadcasted on a result tag bus.
7. The dynamic scheduler pipeline according to claim 6, wherein the stations comprise: a first subset of stations, each of the first subset having two tag comparators to compare tags of respective operands in a two operand instruction in the first subset with tags broadcasted on the result tag bus; a second subset of stations, each of the second subset comprising one tag comparator that compares one tag of a two operand instruction in the second subset with tags broadcasted on the result tag bus; and a third subset of stations, each of the third subset comprising no tag comparators.
8. The dynamic scheduler pipeline according to claim 7, wherein the allocator is adapted to position an instruction having two unavailable operands in the first subset.
9. The dynamic scheduler pipeline according to claim 7, wherein the allocator is adapted to position instructions having one unavailable operand in the second subset or first subset.
10. The dynamic scheduler pipeline according to claim 7, wherein the allocator is adapted to position instructions having no unavailable operands in the third subset, second subset or first subset.
11. The dynamic scheduler pipeline according to claim 6, wherein each of the stations has no more than one tag comparator.
12. The dynamic scheduler pipeline according to claim 11, further comprising: a last tag predictor adapted to predict which of two operands of a two operand instruction is the last available operand; wherein the allocator is adapted to position the two operand instruction in one of the stations such that a tag of the last available operand is compared with tags broadcasted on the result tag bus by the tag comparator; wherein the allocator is adapted to position the two operand instruction in one of the stations such that a tag of the other of the two operands is not compared with tags broadcasted on the result tag bus by the tag comparator.
13. The dynamic scheduler pipeline according to claim 12, further comprising: multiplexers adapted to position the operands in proper order for execution after the tag comparator determines that the last available operand is available.
14. A method for scheduling instructions for execution, comprising: receiving an instruction having a first operand and a second operand, wherein the second operand is available and the first operand is unavailable. positioning the instruction in a station of a reservation station; comparing a tag of the first operand with tags broadcasted on a result tag bus to determine when the first operand is available; not comparing a tag of the second operand with the tags broadcasted on the result tag bus; and requesting permission for execution of the instruction when the first operand is determined to be available.
15. The method according to claim 14, wherein the instruction is positioned in a station having only one tag comparator.
16. The method according to claim 15, further comprising: receiving a second instruction having two unavailable operands; positioning the instruction in a second station having two tag comparators; determining when the two unavailable operands become available; requesting permission for executing the second instruction when the two unavailable operands become available.
17. A method for scheduling an instruction for execution, comprising: receiving an instruction having a first unavailable operand and a second unavailable operand; predicting that the second unavailable operand will become available after the first unavailable operand; positioning the instruction in a station having one tag comparator such that only the second unavailable operand is compared to tags broadcasted on a result tag bus by a tag comparator; executing the instruction when the tag comparator determines that the second unavailable operand is available.
18. The method according to claim 17, further comprising validating the prediction step after the second unavailable operand becomes available to ensure that the first unavailable operand is available.
PCT/US2003/010329 2002-04-04 2003-04-04 Technique for reduced-tag dynamic scheduling WO2003085515A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003223450A AU2003223450A1 (en) 2002-04-04 2003-04-04 Technique for reduced-tag dynamic scheduling

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US37002702P 2002-04-04 2002-04-04
US60/370,027 2002-04-04
US10/406,475 2003-04-03
US10/406,475 US7398375B2 (en) 2002-04-04 2003-04-03 Technique for reduced-tag dynamic scheduling and reduced-tag prediction

Publications (1)

Publication Number Publication Date
WO2003085515A1 true WO2003085515A1 (en) 2003-10-16

Family

ID=28678305

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/010329 WO2003085515A1 (en) 2002-04-04 2003-04-04 Technique for reduced-tag dynamic scheduling

Country Status (3)

Country Link
US (1) US7398375B2 (en)
AU (1) AU2003223450A1 (en)
WO (1) WO2003085515A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11667611B2 (en) 2006-02-24 2023-06-06 Rigel Pharmaceuticals, Inc. Compositions and methods for inhibition of the JAK pathway

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7721071B2 (en) * 2006-02-28 2010-05-18 Mips Technologies, Inc. System and method for propagating operand availability prediction bits with instructions through a pipeline in an out-of-order processor
US8135942B2 (en) 2008-08-28 2012-03-13 International Business Machines Corpration System and method for double-issue instructions using a dependency matrix and a side issue queue
US8239661B2 (en) * 2008-08-28 2012-08-07 International Business Machines Corporation System and method for double-issue instructions using a dependency matrix
US8838544B2 (en) * 2009-09-23 2014-09-16 International Business Machines Corporation Fast history based compression in a pipelined architecture
US9256428B2 (en) 2013-02-06 2016-02-09 International Business Machines Corporation Load latency speculation in an out-of-order computer processor
US11392410B2 (en) 2020-04-08 2022-07-19 Microsoft Technology Licensing, Llc Operand pool instruction reservation clustering in a scheduler circuit in a processor
CN114816526B (en) * 2022-04-19 2022-11-11 北京微核芯科技有限公司 Operand domain multiplexing-based multi-operand instruction processing method and device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5404470A (en) * 1991-11-26 1995-04-04 Matsushita Electric Industrial Co., Ltd. Information processing apparatus for processing instructions by out-of-order execution
US5604912A (en) * 1992-12-31 1997-02-18 Seiko Epson Corporation System and method for assigning tags to instructions to control instruction execution

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5655096A (en) * 1990-10-12 1997-08-05 Branigin; Michael H. Method and apparatus for dynamic scheduling of instructions to ensure sequentially coherent data in a processor employing out-of-order execution
US5546597A (en) * 1994-02-28 1996-08-13 Intel Corporation Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution
US5473526A (en) 1994-04-22 1995-12-05 University Of Southern California System and method for power-efficient charging and discharging of a capacitive load from a single source
US5590352A (en) * 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5517145A (en) 1994-10-31 1996-05-14 International Business Machines Corporation CMOS toggle flip-flop using adiabatic switching
US5506520A (en) 1995-01-11 1996-04-09 International Business Machines Corporation Energy conserving clock pulse generating circuits
US5526319A (en) 1995-01-31 1996-06-11 International Business Machines Corporation Memory with adiabatically switched bit lines
US5634026A (en) * 1995-05-12 1997-05-27 International Business Machines Corporation Source identifier for result forwarding
US5559478A (en) 1995-07-17 1996-09-24 University Of Southern California Highly efficient, complementary, resonant pulse generation
US5838203A (en) 1996-12-06 1998-11-17 Intel Corporation Method and apparatus for generating waveforms using adiabatic circuitry
US6516405B1 (en) * 1999-12-30 2003-02-04 Intel Corporation Method and system for safe data dependency collapsing based on control-flow speculation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5404470A (en) * 1991-11-26 1995-04-04 Matsushita Electric Industrial Co., Ltd. Information processing apparatus for processing instructions by out-of-order execution
US5604912A (en) * 1992-12-31 1997-02-18 Seiko Epson Corporation System and method for assigning tags to instructions to control instruction execution

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
ERNST ET AL.: "Efficient dynamic scheduling through tag elimination", IEEE, May 2002 (2002-05-01), pages 37 - 46, XP001110045 *
STARK ET AL.: "On pipelining dynamic instruction scheduling logic", December 2000 (2000-12-01), pages 57 - 66, XP000994556 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11667611B2 (en) 2006-02-24 2023-06-06 Rigel Pharmaceuticals, Inc. Compositions and methods for inhibition of the JAK pathway

Also Published As

Publication number Publication date
US7398375B2 (en) 2008-07-08
AU2003223450A1 (en) 2003-10-20
US20030191925A1 (en) 2003-10-09

Similar Documents

Publication Publication Date Title
KR101754462B1 (en) Method and apparatus for implementing a dynamic out-of-order processor pipeline
US9524164B2 (en) Specialized memory disambiguation mechanisms for different memory read access types
US7941607B1 (en) Method and system for promoting traces in an instruction processing circuit
US7181598B2 (en) Prediction of load-store dependencies in a processing agent
Kim et al. Warped-preexecution: A GPU pre-execution approach for improving latency hiding
US20120023314A1 (en) Paired execution scheduling of dependent micro-operations
US8037285B1 (en) Trace unit
EP1152329A1 (en) Method and apparatus for identifying splittable packets in a multithreated vliw processor
CN1945525A (en) System and method for time-of-life counter design for handling instruction flushes from a queue
WO2012138390A1 (en) Register allocation in rotation based alias protection register
US7398375B2 (en) Technique for reduced-tag dynamic scheduling and reduced-tag prediction
US6988185B2 (en) Select-free dynamic instruction scheduling
US20160011874A1 (en) Silent memory instructions and miss-rate tracking to optimize switching policy on threads in a processing device
US7949854B1 (en) Trace unit with a trace builder
US20070101111A1 (en) Processor core and method for managing program counter redirection in an out-of-order processor pipeline
US7966479B1 (en) Concurrent vs. low power branch prediction
US10592517B2 (en) Ranking items
US5784639A (en) Load buffer integrated dynamic decoding logic
US11907712B2 (en) Methods, systems, and apparatuses for out-of-order access to a shared microcode sequencer by a clustered decode pipeline
US8032710B1 (en) System and method for ensuring coherency in trace execution
US7953933B1 (en) Instruction cache, decoder circuit, basic block cache circuit and multi-block cache circuit
EP3757772A1 (en) System, apparatus and method for a hybrid reservation station for a processor
US8015359B1 (en) Method and system for utilizing a common structure for trace verification and maintaining coherency in an instruction processing circuit
KR100861701B1 (en) Register renaming system and method based on value similarity
WO2007084202A2 (en) Processor core and method for managing branch misprediction in an out-of-order processor pipeline

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP