WO2004030020A3 - Upper electrode plate with deposition shield in a plasma processing system - Google Patents

Upper electrode plate with deposition shield in a plasma processing system Download PDF

Info

Publication number
WO2004030020A3
WO2004030020A3 PCT/IB2003/004923 IB0304923W WO2004030020A3 WO 2004030020 A3 WO2004030020 A3 WO 2004030020A3 IB 0304923 W IB0304923 W IB 0304923W WO 2004030020 A3 WO2004030020 A3 WO 2004030020A3
Authority
WO
WIPO (PCT)
Prior art keywords
upper electrode
processing system
plasma processing
electrode plate
deposition shield
Prior art date
Application number
PCT/IB2003/004923
Other languages
French (fr)
Other versions
WO2004030020A2 (en
Inventor
Shinya Nishimoto
Kouji Mitsuhashi
Hiroyuki Nakayama
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to AU2003274566A priority Critical patent/AU2003274566A1/en
Priority to JP2004539386A priority patent/JP4589115B2/en
Publication of WO2004030020A2 publication Critical patent/WO2004030020A2/en
Publication of WO2004030020A3 publication Critical patent/WO2004030020A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

The present invention presents an improved upper electrode (22) for a plasma processing system, wherein the design and fabrication on an electrode plate (24) with a deposition shield (26) coupled to the upper electrode advantageously provides gas injection of a process gas with substantially minimal erosion of the upper electrode while providing protection to a chamber interior.
PCT/IB2003/004923 2002-09-30 2003-09-29 Upper electrode plate with deposition shield in a plasma processing system WO2004030020A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU2003274566A AU2003274566A1 (en) 2002-09-30 2003-09-29 Upper electrode plate with deposition shield in a plasma processing system
JP2004539386A JP4589115B2 (en) 2002-09-30 2003-09-29 Apparatus for improved upper electrode plate with deposition shield in a plasma processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,858 2002-09-30
US10/259,858 US7147749B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system

Publications (2)

Publication Number Publication Date
WO2004030020A2 WO2004030020A2 (en) 2004-04-08
WO2004030020A3 true WO2004030020A3 (en) 2004-09-16

Family

ID=32029571

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2003/004923 WO2004030020A2 (en) 2002-09-30 2003-09-29 Upper electrode plate with deposition shield in a plasma processing system

Country Status (6)

Country Link
US (2) US7147749B2 (en)
JP (1) JP4589115B2 (en)
KR (1) KR100739247B1 (en)
CN (1) CN100424811C (en)
AU (1) AU2003274566A1 (en)
WO (1) WO2004030020A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4602532B2 (en) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 Plasma processing equipment
KR100869981B1 (en) 2001-03-26 2008-11-24 다우 글로벌 테크놀로지스 인크. Metathesis of unsaturated fatty acid esters or unsaturated fatty acids with lower olefins
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4173389B2 (en) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 Plasma processing equipment
JP4597972B2 (en) * 2003-03-31 2010-12-15 東京エレクトロン株式会社 A method of bonding adjacent coatings on a processing member.
JP4532479B2 (en) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 A barrier layer for a processing member and a method of forming the same.
US7945106B2 (en) * 2003-09-23 2011-05-17 Thomson Licensing Method for simulating film grain by mosaicing pre-computer samples
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050178331A1 (en) * 2004-02-13 2005-08-18 Fourtner Lawrence C. Electrode assembly and method for producing an electrode plate
US20060081337A1 (en) * 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
BRPI0518037A (en) 2004-11-22 2008-10-28 Thomson Licensing methods, apparatus and system for dividing film granulation cache for film granulation simulation
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
KR100897176B1 (en) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 Inductively Coupled Plasma Processing Apparatus
JP4972327B2 (en) * 2006-03-22 2012-07-11 東京エレクトロン株式会社 Plasma processing equipment
CN101165868B (en) * 2006-10-20 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 Wafer processing chamber liner and wafer processing chamber comprising same
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
SE532505C2 (en) * 2007-12-12 2010-02-09 Plasmatrix Materials Ab Method for plasma activated chemical vapor deposition and plasma decomposition unit
JP5102706B2 (en) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 Baffle plate and substrate processing apparatus
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5198611B2 (en) 2010-08-12 2013-05-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
JP2012221979A (en) * 2011-04-04 2012-11-12 Toshiba Corp Plasma processing apparatus
JP5782293B2 (en) * 2011-05-10 2015-09-24 東京エレクトロン株式会社 Plasma generating electrode and plasma processing apparatus
JP5879069B2 (en) 2011-08-11 2016-03-08 東京エレクトロン株式会社 Method for manufacturing upper electrode of plasma processing apparatus
TW201325326A (en) * 2011-10-05 2013-06-16 Applied Materials Inc Plasma processing apparatus and substrate support assembly thereof
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
CN103377867A (en) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 Top electrode in etching electrode mechanism
EP2829583B1 (en) 2013-07-22 2016-03-16 Itoh Optical Industrial Co., Ltd. Hard coating composition
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6714978B2 (en) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 Parts for plasma processing apparatus, plasma processing apparatus, and method for manufacturing parts for plasma processing apparatus
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6486215B2 (en) * 2015-06-17 2019-03-20 株式会社日立ハイテクノロジーズ Plasma processing equipment
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10723915B2 (en) 2018-11-26 2020-07-28 Itoh Optical Industrial Co., Ltd. Hard coating composition
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
USD973609S1 (en) 2020-04-22 2022-12-27 Applied Materials, Inc. Upper shield with showerhead for a process chamber
US20210335581A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Preclean chamber upper shield with showerhead
TW202203319A (en) * 2020-06-24 2022-01-16 日商東京威力科創股份有限公司 Substrate processing apparatus
US11380575B2 (en) * 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368987B1 (en) * 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP2002151473A (en) * 2000-11-13 2002-05-24 Tokyo Electron Ltd Plasma processing apparatus and its assembling method
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20040072426A1 (en) * 2002-08-02 2004-04-15 Soon-Jong Jung Process chamber for manufacturing a smiconductor device

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644083A (en) * 1899-07-15 1900-02-27 Fred C Leavitt Sash holder and lock.
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (en) 1981-09-30 1983-04-05 Sony Corp Preparation of green fluorescent material
JPH065155B2 (en) 1984-10-12 1994-01-19 住友金属工業株式会社 Furnace wall repair device for kiln
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US5441048A (en) * 1988-09-08 1995-08-15 Sudor Partners Method and apparatus for determination of chemical species in perspiration
JPH0329324A (en) * 1989-06-26 1991-02-07 Fujitsu Ltd Manufacture of semiconductor device
DE69015715T2 (en) 1989-07-11 1995-08-17 Sony Corp Method of heat treating an optical oxide crystal and heat treatment apparatus therefor.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (en) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Process for the production of coated particles from inorganic or metallic materials
JPH0423429A (en) * 1990-05-18 1992-01-27 Mitsubishi Electric Corp Device and method for plasma processing of semiconductor device
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH05198532A (en) * 1992-01-22 1993-08-06 Hitachi Chem Co Ltd Electrode plate for plasma etching device
JPH05287521A (en) * 1992-04-09 1993-11-02 Tel Varian Ltd Sputtering device
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR100276093B1 (en) 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
DE69330719T2 (en) 1992-12-28 2002-07-04 Nippon Zeon Co OBJECT WITH A HARD COATING AND METHOD FOR THE PRODUCTION THEREOF
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) * 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (en) 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (en) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
KR100331053B1 (en) 1994-05-17 2002-06-20 가나이 쓰도무 Plasma processing appartus and plasma processing method
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (en) 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
TW323387B (en) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
JP3164200B2 (en) 1995-06-15 2001-05-08 住友金属工業株式会社 Microwave plasma processing equipment
JP2814370B2 (en) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
DE19529627C1 (en) 1995-08-11 1997-01-16 Siemens Ag Thermally conductive, electrically insulating connection and method for its production
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
JPH09167755A (en) * 1995-12-15 1997-06-24 Nec Corp Plasma oxide film processor
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (en) 1996-02-28 1997-09-09 Nittetsu Hard Kk Formation of thermally sprayed coating
CN1074689C (en) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 Method of producing on substrate of protective coatings with chemical composition and structure gradient across thickness and with top ceramic layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (en) 1996-06-25 2001-12-25 日本政策投資銀行 Thermoelectric converter
JPH1018043A (en) * 1996-07-03 1998-01-20 Applied Materials Inc Rf coil with slots for plasma vapor deposition system
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
JPH10214819A (en) * 1997-01-28 1998-08-11 Sumitomo Metal Ind Ltd Electrode plate for plasma etching
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (en) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 Electrophotographic photosensitive member and electrophotographic image forming apparatus using the same
JP3362113B2 (en) 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
JPH1136076A (en) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
US6066729A (en) * 1997-11-18 2000-05-23 Yamamoto Chemicals, Inc Photothermal conversion material
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (en) * 1997-12-24 2000-08-01 윤종용 Dry etching apparatus
JP4217299B2 (en) 1998-03-06 2009-01-28 東京エレクトロン株式会社 Processing equipment
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (en) 1998-04-22 2000-10-02 윤종용 Baffle of etching equipment for fabricating semiconductor device
JP4037956B2 (en) 1998-04-28 2008-01-23 東海カーボン株式会社 Chamber inner wall protection member
JP3810039B2 (en) 1998-05-06 2006-08-16 キヤノン株式会社 Stage equipment
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (en) 1998-08-31 2008-10-08 東京エレクトロン株式会社 Plasma processing apparatus and detection window
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (en) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 Processing apparatus and surface treatment method for metal parts
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (en) 1999-09-08 2009-06-24 東京エレクトロン株式会社 Processing method
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (en) 2000-01-20 2004-09-22 住友電気工業株式会社 Wafer holder for semiconductor manufacturing equipment
JP4342069B2 (en) * 2000-02-14 2009-10-14 生化学工業株式会社 α1,4-Galactosyltransferase and DNA encoding the same
DE50100469D1 (en) * 2000-03-15 2003-09-11 Paul-Eric Preising CLEANING METHOD AND DEVICE FOR HIGH-VOLTAGE SYSTEM PARTS
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (en) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 CVD equipment
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
DE60228198D1 (en) 2001-02-07 2008-09-25 Hitachi Metals Ltd METHOD FOR PRODUCING A METAL ALLOY FOR AN IRON BASE RARE MAGNET
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (en) 2001-07-24 2004-05-17 삼성전자주식회사 Dry Etching Apparatus for Manufacturing Semiconductor Devices
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR100440500B1 (en) 2001-12-07 2004-07-15 주식회사 코미코 Ceramic parts production and repair for semiconductor fabrication by plasma spray process
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6864516B2 (en) * 2002-02-28 2005-03-08 Advanced Micro Devices, Inc. SOI MOSFET junction degradation using multiple buried amorphous layers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
JP3776856B2 (en) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (en) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp Treatment method of plasma treatment chamber wall
CN100418187C (en) * 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368987B1 (en) * 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP2002151473A (en) * 2000-11-13 2002-05-24 Tokyo Electron Ltd Plasma processing apparatus and its assembling method
US20040035364A1 (en) * 2000-11-13 2004-02-26 Riki Tomoyoshi Plasma processing apparatus and method for asssembling the plasma processing apparatus
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20040072426A1 (en) * 2002-08-02 2004-04-15 Soon-Jong Jung Process chamber for manufacturing a smiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 2002, no. 09 4 September 2002 (2002-09-04) *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US8449715B2 (en) 2002-11-28 2013-05-28 Tokyo Electron Limited Internal member of a plasma processing vessel
US8877002B2 (en) 2002-11-28 2014-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel

Also Published As

Publication number Publication date
WO2004030020A2 (en) 2004-04-08
AU2003274566A8 (en) 2004-04-19
US20040060661A1 (en) 2004-04-01
JP4589115B2 (en) 2010-12-01
US20070034337A1 (en) 2007-02-15
US7147749B2 (en) 2006-12-12
AU2003274566A1 (en) 2004-04-19
JP2006501609A (en) 2006-01-12
US7566379B2 (en) 2009-07-28
CN100424811C (en) 2008-10-08
KR20050053710A (en) 2005-06-08
KR100739247B1 (en) 2007-07-12
CN1682342A (en) 2005-10-12

Similar Documents

Publication Publication Date Title
WO2004030020A3 (en) Upper electrode plate with deposition shield in a plasma processing system
WO2004030011A3 (en) Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode
WO2004030012A3 (en) Improved bellows shield in a plasma processing system,and method of manufacture of such bellows shield
WO2004030426A3 (en) Improved deposition shield in a plasma processing system,and methods of manufacture of such shield
WO2004030013A3 (en) Baffle plate in a plasma processing system
WO2004030014A3 (en) Optical window deposition shield in a plasma processing system
WO2004030015A3 (en) Method and apparatus for an improved baffle plate in a plasma processing system
WO2004100231A3 (en) Oblique ion milling of via metallization
WO2006026110A3 (en) Yttria insulator ring for use inside a plasma chamber
SE0302045D0 (en) Work piece processing by pulsed electric discharges in solid-gas plasmas
CA2278751A1 (en) Atmospheric-pressure plasma jet
AU2003207794A1 (en) Corona-generated chemical vapor deposition on a substrate
EP1170777A3 (en) Multi-purpose processing chamber with removable chamber liner
AU2002211886A1 (en) Stepped upper electrode for plasma processing uniformity
EP1390558A4 (en) Penning discharge plasma source
TW200727342A (en) A plasma enhanced atomic layrer deposition system
WO2007146803B1 (en) Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
WO2006071556A3 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
CA2411174A1 (en) A process and apparatus for plasma activated deposition in a vacuum
TW200629402A (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
AU3082201A (en) Method and apparatus for controlling the volume of a plasma
DE60038811D1 (en) TREATMENT DEVICES
CA2234986A1 (en) Nitriding process and nitriding furnace therefor
WO2007124879A3 (en) Homogeneous pvd coating device and method
WO2001078101A3 (en) Method and apparatus for plasma processing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20038222590

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020057005442

Country of ref document: KR

Ref document number: 2004539386

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 1020057005442

Country of ref document: KR

122 Ep: pct application non-entry in european phase