WO2004055880A1 - Annealing method and device - Google Patents

Annealing method and device Download PDF

Info

Publication number
WO2004055880A1
WO2004055880A1 PCT/GB2003/005499 GB0305499W WO2004055880A1 WO 2004055880 A1 WO2004055880 A1 WO 2004055880A1 GB 0305499 W GB0305499 W GB 0305499W WO 2004055880 A1 WO2004055880 A1 WO 2004055880A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
radiation
absorbing structure
radiation absorbing
layer
Prior art date
Application number
PCT/GB2003/005499
Other languages
French (fr)
Inventor
Paul Peter Donohue
Carl John Anthony
Michael Andrew Todd
Original Assignee
Qinetiq Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qinetiq Limited filed Critical Qinetiq Limited
Priority to AU2003292427A priority Critical patent/AU2003292427A1/en
Publication of WO2004055880A1 publication Critical patent/WO2004055880A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N15/00Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using the Nernst-Ettingshausen effect
    • H10N15/10Thermoelectric devices using thermal change of the dielectric constant, e.g. working above and below the Curie point
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • This invention relates to a method for annealing films, and in particular to a method for annealing ferroelectric films.
  • Thermal detectors used for infra-red imaging rely on the temperature change of the sensing material due to absorption of infra-red radiation. In the case of ferroelectric materials this radiation causes a change in the electrical polarisation of the material enabling the magnitude of the change in temperature to be detected.
  • integrated ferroelectric devices In order to reduce the size of the detectors, integrated ferroelectric devices have been developed in which the ferroelectric material is combined with the electronic read out circuitry in a single device.
  • these integrated circuit (IC) devices comprise layered structures with a thin layer of ferroelectric sputtered or spin coated or otherwise deposited onto or above one or more base layers.
  • Other examples of such integrated ferroelectric devices are thin film piezoelectric actuators and ferroelectric random access memories (FeRAM).
  • the combination of the ferroelectric material with the active circuitry in one package produces a more compact device than- the provision of a separate read out circuit and improves yield, reduces cost and improves performance.
  • a fundamental problem with such devices is the need to deposit the ferroelectric material within a thermal budget that is compatible with the integrated circuitry not being damaged or destroyed by the elevated temperatures. It is widely recognised that exposure of an integrated circuit (e.g. a CMOS circuit) to temperatures above 450°C is a constraint on the processing of chips/materials with IC content, and this conflicts with the growth requirements of many ferroelectric layers.
  • an integrated circuit e.g. a CMOS circuit
  • a particularly important family of ferroelectric materials in use and under investigation for IR detector, actuator or FeRAM applications is the perovskites.
  • This family include materials such as lead scandium tantalate (PST), lead zirconate titanate (PZT), barium strontium titanate (BST), lead titanate (PT) and others.
  • PST lead scandium tantalate
  • PZT lead zirconate titanate
  • BST barium strontium titanate
  • PT lead titanate
  • the material layer must be in the perovskite phase. It can either be deposited directly into that phase at an elevated temperature or at a lower temperature which is then subsequently annealed into the ferroelectric perovskite phase. Layers deposited at low temperatures are generally in an amorphous, pyrochlore or other phase which is incapable of exhibiting ferroelectricity.
  • PST for example, the material must be deposited at temperatures in excess of 450°C to enter the perovskite phase. Direct depositing of these materials in a
  • One known way of providing a layer of ferroelectric material in the perovskite phase without damaging an IC provided on a base layer is to deposit the material in a non- ferroelectric state at a low temperature (say less than 450°C). The material may then be annealed using a laser to heat the layer sufficiently to convert the material into its perovskite phase.
  • a laser annealing technique is described in US5310990.
  • a laser wavelength is chosen which is strongly absorbed by the ferroelectric layer.
  • the laser radiation is pulsed and the temporal width of the pulse is kept sufficiently short such that the heat diffusion length is small enough to prevent the induced heat wave from penetrating through the various layers to the IC layer.
  • excimer lasers generate ultraviolet (UN) radiation of a wavelength that is strongly absorbed by typical ferroelectric layers such as PST and PZT.
  • Typically such lasers generate pulses having relatively short pulse durations; for example around 25ns.
  • the energy density required to generate sufficient temperature at the bottom of a thick layer of PST will significantly increase the surface temperature at the top (i.e. the irradiated surface) of that layer.
  • the surface heating effect can cause surface damage, poor crystallisation and crystal quality, poor film physical integrity and loss of stoichiometry due to evaporation of volatile components.
  • known laser annealing techniques In addition to surface heating effects, known laser annealing techniques also lack control over the orientation of the crystalline phase that is grown during the annealing process. For thicker films, nucleation will occur as a bulk effect in a similar fashion to bulk ceramic ferroelectric materials with the consequent random, or at least mixed, orientation of the grain crystal axes.
  • WO 00/54317 describes an annealing process in which a temporal extender is provided to deliver a laser pulse at a slower rate than is possible with a non-extended commercially available laser source.
  • the extended pulse increases the diffusion length through the material thus allowing thicker films to be annealed without surface damage.
  • the pulse extension technique mitigates some of the problems associated with excessive surface heating in thicker films, the problems associated with nucleation and growth control remain.
  • a method for annealing a film comprises the steps of (i) taking a film carried on a temperature sensitive substrate, the film having a radiation absorbing structure formed thereon, and (ii) illuminating the radiation absorbing structure with radiation produced by a laser, wherein the radiation ⁇ absorbing structure is heated sufficiently by the radiation to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.
  • the present invention thus permits a film to be annealed without any heat induced damage of the underlying substrate.
  • the use of a radiation absorbing structure to convert the incident radiation to heat minimises any damage to the surface of the film that may arise from excessive heating.
  • the present invention is thus advantageous over the prior art techniques described above in which surface heating of the film itself is used during the annealing process.
  • the present invention will permit films having a thickness greater than around l ⁇ m to be annealed without any significant damage to the surface of the film.
  • the present invention provides absorption which is independent of the thickness of the film.
  • the film does not have to be a certain minimum thickness to ensure that there is sufficient absorption of the incident radiation. This is particularly advantageous in FeRAM applications where the layer of ferroelectric material used is typically very thin and would thus absorb only a small amount of any radiation directly incident on it.
  • anneal is used to mean the permanent alteration of the properties of a material by heating.
  • annealing includes converting all or some of a film from a non-ferroelectric state into a phase capable of exhibiting ferroelectricity. Annealing in the context of ferroelectric films
  • / would also include improving the ferroelectricity being exhibited, for example by converting more of the film into a ferroelectric state.
  • film means a deposited layer, or a plurality of deposited layers, of material.
  • films may also refer to a "film” as a '-'thin film” (noting that theterm “thin” is not typically taken to mean a film of any particular thickness) or a coating.
  • film is thus used herein to describe a layer of material formed (e.g. spin coated, sputtered or grown) on a substrate as opposed to a piece of bulk material.
  • the radiation absorbing structure comprises a nucleation layer in contact with the film.
  • a nucleation layer in contact with the film.
  • the presence of a nucleation, or seeding, layer is advantageous because it helps to initiate growth of the required crystalline phase of the film which is being annealed.
  • the nucleation layer comprises platinum.
  • the nucleation layer may comprise a conducting oxide.
  • a layer of perovskite structure conducting oxide material such as Lanthanum Nickelate or Strontium Ruthenate may be used. Such materials can be closely lattice matched to perovskite ferroelectric materials and provide a suitable template for perovskite growth.
  • At least one layer of the radiation absorbing structure is a dielectric layer.
  • the radiation absorbing structure includes a dielectric layer, for example on its external surface (i.e. the surface furthermost from the substrate), to maximise the amount of energy from the radiation that is retained within the radiation absorbing structure for conversion into heat.
  • the dielectric layer comprises one or more layers of Silicon Dioxide.
  • the dielectric layer has a thickness substantially equal to an odd multiple of a quarter of the wavelength of the radiation propagating within the dielectric layer. This increases the amount of radiation coupled into the dielectric layer and increases the heating effect that is obtained. It would be appreciated by the skilled person that, for a dielectric layer with a finite optical absorption, the maximum absorption condition would be shifted very slightly (e.g. by a few percent) from the quarter wavelength condition due to optical absorption effects.
  • the radiation absorbing structure is a resonant absorber structure.
  • the radiation absorbing structure may comprise both a nucleation layer and a dielectric layer.
  • the dielectric layer is arranged to maximise the amount of radiation absorbed by nucleation layer.
  • the radiation absorbing structure may also comprise an outermost metallic layer (e.g. a thin Titanium layer).
  • a three layer stack may thus be formed that comprises a nucleation layer in contact with the film, a dielectric layer formed on the nucleation layer and an outermost metallic layer formed on the dielectric layer.
  • the nucleation- dielectric-metal layer structure further enhances the absorption properties of the radiation absorbing structure.
  • a variety of alternative multiple layer radiation absorbing structures could be used.
  • the method further comprises the initial step of depositing the radiation absorbing structure on the film that is carried on the temperature sensitive substrate.
  • the actual deposition process used will depend on the type of radiation absorbing structure required.
  • the radiation absorbing structure may comprise a single layer, or a plurality of layers.
  • the radiation absorbing structure may be formed so as to partially cover the film.
  • a patterned radiation absorbing structure may be used to allow selective annealing of parts of the film. Regions of the film not covered by the radiation absorbing structure may or may not be masked (e.g. with a highly reflective material) as required.
  • This arrangement overcomes the need for beam shaping (e.g. as described in US5310990) when selectively annealing.
  • beam shaping e.g. as described in US5310990
  • selective exposure of portions of a radiation absorbing structure may also be used.
  • the film is a mixed oxide ferroelectric film.
  • the mixed oxide material may not actually exhibit ferroelectric properties when initially deposited on the substrate; i.e. it may be deposited at a low temperature and hence initially be in a non-ferroelectric phase.
  • ferroelectric film shall herein mean any film that is, or is capable of being annealed to become, ferroelectric.
  • the ferroelectric film comprises low grade deposited perovskite phase material and the annealing step improves the quality of the perovskite material.
  • the film comprises material deposited substantially in the non- perovskite phase and the annealing step converts some or all of the material into the perovskite phase.
  • the ferroelectric film is preferably any one of lead scandium tantalate (PST), lead zirconate titanate (PZT), strontium bismuth tantalate (SBT), barium strontium titanate (BST) or lead titanate (PT).
  • PST lead scandium tantalate
  • PZT lead zirconate titanate
  • SBT strontium bismuth tantalate
  • BST barium strontium titanate
  • PT lead titanate
  • the method may further comprise the step of removing some or all of the radiation absorbing structure after the film has been annealed.
  • the substrate comprises an integrated circuit which may comprise Silicon.
  • the substrate may comprise polysilicon on a glass substrate.
  • the substrate is flexible.
  • the substrate could comprise polyamide or a plastic.
  • the step of illuminating the radiation absorbing structure with radiation produced by the laser comprises illuminating the radiation absorbing structure with a pulse of radiation.
  • the pulse of radiation with which the radiation absorbing structure is illuminated may be produced by the laser in a number of ways.
  • a pulsed laser source may be used or the output from a CW laser could be intensity modulated (e.g. chopped).
  • any other means of exposing a specific portion of the radiation absorbing structure to a short burst of radiation could be used.
  • a CW laser could be rapidly scanned across the radiation absorbing structure; this would also locally illuminate regions of the radiation absorbing structure with a short duration burst (i.e. a pulse) of radiation.
  • the step of illuminating the radiation absorbing structure with a pulse of radiation produced by the laser is repeated a plurality of times
  • an excimer laser is used to produce the pulse of radiation.
  • any other suitable pulsed laser source such as a transverse excited atmospheric carbon dioxide (TEA CO2) laser, may advantageously be used to produce the pulse of radiation.
  • TAA CO2 transverse excited atmospheric carbon dioxide
  • the pulse of radiation may advantageously be produced by a pulsed laser and pulse extension means.
  • a temporal pulse extender of the type described in WO 00/54317 could be used.
  • the film is carried by the substrate in a microbridge arrangement.
  • the entirety of the film may not be in contact the substrate; i.e. an air gap may be provided to thermally isolate the film from the substrate.
  • a method of producing an infra-red detector comprises the method according to the first aspect of the invention
  • a method of producing a film device comprises the steps of (i) forming a film on a temperature sensitive substrate, and (ii) forming a radiation absorbing structure on the film, wherein the radiation absorbing structure is adapted such that it can be heated sufficiently by a pulse of radiation of a given wavelength to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.
  • the method further comprises the step of illuminating the radiation absorbing structure with a pulse of radiation of the given wavelength.
  • the step of forming a radiation absorbing structure on the film comprises the step of depositing a nucleation layer directly on the film.
  • the step of forming a radiation absorbing structure on the film comprises the step of depositing a dielectric layer.
  • the radiation absorbing structure formed is a resonant absorber structure.
  • the film may conveniently be a mixed oxide ferroelectric film.
  • the method may further comprising the step of removing some or all of the radiation absorbing structure after the film has been annealed.
  • a device incorporates a film layer annealed using the method of the first or third aspect of the invention.
  • an intermediate film device comprises a pre-annealed film carried on a substrate comprising an integrated circuit wherein the film has a radiation absorbing structure formed thereon.
  • the intermediate film device may advantageously be used in a method according to the first aspect of the invention.
  • the intermediate film device may advantageously be fabricated using the method of the third aspect of the invention.
  • the film is a ferroelectric film.
  • the radiation absorbing structure comprises a nucleation layer in contact with the film.
  • Figure 1 illustrates a prior art annealing process
  • Figure 2 illustrates an annealing process according to the present invention
  • Figure 3 shows the predicted absorption properties of a Silicon Nitride absorber layer as a function of absorber layer thickness for 10.6 ⁇ m radiation
  • Figure 4 shows the theoretical absorption properties of a Silicon dioxide absorber layer as a function of absorber layer thickness for 10.6 ⁇ m radiation
  • Figure 5 shows the predicted absorption properties of a Silicon dioxide absorber layer as a function of absorber layer thickness for 10.6 ⁇ m radiation using measured silicon dioxide optical properties
  • Figure 6 illustrates the absorption properties of a Silicon dioxide absorber layer capped with a thin layer of titanium using measured optical properties
  • Figure 7 shows the predicted absorption properties of a Silicon Nitride absorber layer as a function of absorber layer thickness for 308nm radiation.
  • Figure 8 shows the intensity of radiation as a function of depth through a structure of the type described with reference to figure 7.
  • a laser pulse 2 is directed to the surface of a PST ferroelectric film 4 of thickness d which is located on a substrate 6.
  • the substrate 6 is formed from a number of layers, but only the uppermost electrode layer 8 is shown separately.
  • the substrate 6 will comprise various adhesion and barrier layers and integrated circuitry (e.g. CMOS). As described above, it is the integrated circuitry of the substrate which is particularly temperature sensitive and may be destroyed, or damaged, if exposed to an elevated temperature. In the case of CMOS circuitry, exposure to temperatures exceeding around 450°C causes permanent damage. In other words, the substrate 6 has a temperature budget that must not be exceeded during the annealing process.
  • microbridge structures are also known. Typical microbridge structures are described in more detail with reference to figures 1 and 10 of WO 00/54317 the content of which is incorporated herein by reference thereto.
  • the ferroelectric film is deposited on a substrate having a sacrificial layer which can subsequently be removed to provide an air gap. This enhances the thermal isolation of the ferroelectric film from the substrate, thereby enhancing device performance.
  • substrate as used herein should thus be taken to mean anything on or above which the ferroelectric film is located.
  • the PST ferroelectric film 4 may be deposited on the substrate 6 using any one of a variety of known techniques. For example, chemical solution deposition, RF magnetron sputtering, metal-organic chemical vapour deposition or laser ablation. To prevent thermal damage to the substrate 6, the PST ferroelectric film is deposited on the substrate at relatively low temperatures (typically less than 450°C). The deposited PST layer is thus initially in an amorphous or pyrochlore phase that does not exhibit ferroelectricity.
  • the laser pulse 2 is typically produced by an excimer laser (e.g. a KrF excimer laser which produces radiation having a wavelength of 248nm) and is strongly absorbed by the PST ferroelectric film.
  • the relatively short duration of the laser pulse results in localised heating of the ferroelectric film, primarily, at its upper surface 10, without any significant heating of the substrate 6. This localised heating causes the PST film layer to be converted from a non-ferroelectric state to a ferroelectric form; i.e. the ferroelectric film is annealed.
  • the laser pulse duration may also be extended which can reduce surface damage when thicker films are annealed.
  • a dual layer radiation absorbing structure 20 is located on the PST ferroelectric film 4.
  • the radiation absorbing structure 20 comprises a first layer 22 and a second layer 24.
  • the first layer 22 is formed from a quarter wavelength (at the laser wavelength) coating of silicon dioxide.
  • the second layer 24 comprises a layer of Platinum that is sufficiently optically thick to prevent a significant amount of radiation passing to the underlying film 4.
  • the first and second layers in combination provide a structure that efficiently absorbs the incident laser radiation pulse 2, thereby heating the second layer 24 to a temperature that is sufficient to nucleate growth of the ferroelectric phase in the film. 4.
  • the ferroelectric phase then grows downwards (i.e. away from the interface of the second layer 24 and the film 4) and preferably converts the entire thickness of the film. Using the method of the present invention thus prevents the excessive surface heating of the ferroelectric film that is often associated with prior art direct heating techniques.
  • the second layer is formed from Platinum that will also act as a seeding layer to aid nucleation and growth of the ferroelectric phase.
  • the seeding effect reduces the activation energy, and hence the temperature to which the film must be heated, required to initiate growth of the ferroelectric phase.
  • the second layer 24 could alternatively comprise another metal, a conducting oxide (such as lanthanum nickelate or strontium ruthenate) or an insulating oxide.
  • a conducting oxide such as lanthanum nickelate or strontium ruthenate
  • an insulating oxide such as lanthanum nickelate or strontium ruthenate
  • the second layer 24 could alternatively comprise a material that provides control over the orientation of the growth of the ferroelectric phase.
  • a seeding function similar to that obtained using Platinum would be provided by perovskite structure conducting oxides.
  • Such conducting oxides can also be closely lattice matched to perovskite ferroelectrics, thus providing a growth template for the required perovskite growth. This template effect provides control over the orientation of the grown ferroelectric phase.
  • the ability to gain orientation control during ferroelectric film growth is particularly important in devices that rely on having a significant component of the polar axis of the ferroelectric in a particular direction.
  • the duration and intensity of the laser pulse 2 would be selected so as to heat the second (e.g. platinum) layer 24 sufficiently to anneal the underlying film 4 without exceeding the temperature budget of the substrate 6. If required, a laser pulse-extension technique of the type described in patent application WO00/54317 could be used.
  • thermal diffusion length (d) within a layer can be expressed as:
  • D the diffusivity and ⁇ is the pulse length.
  • D the diffusivity
  • the first layer 22 could be removed using an appropriate micro-machining technique.
  • the second layer 24 could also be removed or, if it is sufficiently conducting, all or part of it could be retained to serve as a top electrode for the ferroelectric layer. Once an insulating, or poorly conducting, second layer has been removed a high conductivity metal electrode could subsequently be deposited to provide an electrical contact.
  • the properties of the absorbing material (e.g. layer thickness) forming the first layer 22 are tailored to the particular wavelength of the laser used.
  • silicon dioxide is described above, numerous alternative dielectric materials could be used (e.g. a silicon nitride etc).
  • the first layer could comprise any material which assist absorption of the incident radiation pulse.
  • Figure 3 shows the predicted absorption (curve 30) as a function of Si 3 N 4 layer thickness for 10.6 ⁇ m radiation incident on Si 3 N 4 topped stack.
  • the stack comprises an Si N layer (which is illuminated with the 10.6 ⁇ m radiation) located on a O.l ⁇ m thick layer of platinum which is carried by a PST film.
  • Si 3 N 4 layers of a thickness "greater than around l ⁇ m provide absorption in excess of 30%. Furthermore, such absorption is almost independent of layer thickness for any layer thickness greater than around 2 ⁇ m.
  • the thickness of the layer can thus be selected to provide the required (e.g. maximum) amount of absorption.
  • the choice of Si 3 N 4 layer thickness will also require consideration to be given to its heat capacity; i.e. a thicker layer will have a heat capacity that limits the temperature rise in the underlying layers.
  • the predicted absorption as a function of SiO 2 layer thickness for 10.6 ⁇ m radiation incident on SiO 2 topped stack is shown (i.e. curve 40).
  • the stack comprises an SiO 2 layer (which is illuminated with the 10.6 ⁇ m radiation) located on a O.l ⁇ m thick layer of platinum which is carried by a PST film.
  • Local absorption maxima are observed for stacks comprising SiO 2 layers around 1.8 ⁇ m and 4.5 ⁇ m in thickness. Again, the layer thickness can be selected to provide the desired level of absorption bearing in mind the heat capacity of the material.
  • the absorption (curve 42) and reflection (curve 44) from a stack of the type described with reference to figure 4 are illustrated using the optical properties of an SiO 2 film as measured over the required wavelength range using ellipsometry.
  • local absorption maxima are observed for stacks comprising SiO 2 layers around 1.3 ⁇ m and 3.6 ⁇ m in thickness. It can be seen that near total absorption is predicted from such a structure having a 3.6 ⁇ m SiO 2 layer.
  • a thin metal layer e.g. titanium
  • Ti/SiO 2 /Pt absorber structure is formed.
  • Figure 6 shows the absorption properties (curve 46) of such a Ti/SiO2/Pt absorber stack, located on a PST film, as function of SiO 2 layer thickness. It is assumed in the optical calculation that the Ti layer is 2.7nm thick, and the platinum layer is O.l ⁇ m thick.
  • the absorption properties of the SiOs/Pt absorber structure shown in figure 5 are re-plotted in figure 6 (again as curve 42) for comparative purposes.
  • the addition of the thin Ti layer gives an advantage either in terms of reduced SiO 2 thickness required for a given absorption (and hence lower thermal loading) or greater absorption for a given SiO 2 thickness.
  • the Ti/SiO 2 /Pt structure gives around 39% absorption whereas the SiO 2 /Pt structure achieves only 15%.
  • the SiO 2 thickness must be increased to 0.84 ⁇ m thereby increasing the thermal loading for the same absorption level as the Ti/SiO 2 /Pt structure.
  • a TEA CO 2 laser can be used to produce radiation having a wavelength of 10.6 ⁇ m.
  • Typical TEA CO 2 lasers can output relatively high optical powers and have a pulse duration that is controllable and can be significantly longer than typical excimer laser devices.
  • a standard excimer laser may also be used to illuminate the first layer, and figure 7 shows the absorption properties (curve 50) as a function of Si 3 N 4 layer thickness for 308nm radiation incident on Si 3 N 4 topped stack.
  • the silicon nitride layer is located on a O.l ⁇ m thick layer of platinum which is carried on a PST film. It can be seen that the absorption maxima of the stack approaches 90% at this wavelength, however the optical power that can be output by a typical excimer laser is generally significantly lower than that produced by a typical TEA CO 2 laser.
  • a first region 60 corresponds to the silicon nitride layer
  • a second region 62 corresponds to the O.l ⁇ m platinum layer
  • third region 64 is the PST film. It can be seen that the majority of the radiation is absorbed at the surface of the Platinum layer (i.e. in the second region 62). In this manner, the platinum layer is heated thereby nucleating growth of the perovskite phase of the PST film.
  • the skilled person would select a laser wavelength and absorbing material(s) that are optimised for the particular film to be annealed. It would also be appreciated by a person skilled in the art that radiation absorbing structures other than the dual layer resonant structure described above could be used to implement the present invention. For example, a single layer of material that strongly absorbs the laser radiation could be employed. Alternatively, a multiple layer stack (e.g. a stack comprising three or more layers) could be used.
  • ferroelectric films are described in the above examples, it should be noted that the annealing technique of the present invention is equally applicable to other types of film.
  • the present invention could be used to anneal magnetic films etc.
  • the annealing of amorphous Silicon films carried on glass or plastic substrates as used in film transistor (TFT) liquid crystal display applications would be possible.

Abstract

A method for annealing a film, such as a ferroelectric or magnetic film is described. The method comprises the steps of (i) taking a film carried on a temperature sensitive substrate, the film having a radiation absorbing structure formed thereon, and (ii) illuminating the radiation absorbing structure with radiation produced by a laser. The radiation absorbing structure is heated sufficiently by the radiation to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.

Description

Annealing Method and Device.
This invention relates to a method for annealing films, and in particular to a method for annealing ferroelectric films.
Recently, there has been a considerable amount of research into the development of devices which utilise the thermal properties of ferroelectric materials. One example is the development of infrared (TR) imaging cameras based on two-dimensional arrays of ferroelectric thermal detectors. Such detectors have proved attractive due to their near ambient temperature operation.
Thermal detectors used for infra-red imaging rely on the temperature change of the sensing material due to absorption of infra-red radiation. In the case of ferroelectric materials this radiation causes a change in the electrical polarisation of the material enabling the magnitude of the change in temperature to be detected.
In order to reduce the size of the detectors, integrated ferroelectric devices have been developed in which the ferroelectric material is combined with the electronic read out circuitry in a single device. Typically, these integrated circuit (IC) devices comprise layered structures with a thin layer of ferroelectric sputtered or spin coated or otherwise deposited onto or above one or more base layers. Other examples of such integrated ferroelectric devices are thin film piezoelectric actuators and ferroelectric random access memories (FeRAM).
The combination of the ferroelectric material with the active circuitry in one package produces a more compact device than- the provision of a separate read out circuit and improves yield, reduces cost and improves performance. However, a fundamental problem with such devices is the need to deposit the ferroelectric material within a thermal budget that is compatible with the integrated circuitry not being damaged or destroyed by the elevated temperatures. It is widely recognised that exposure of an integrated circuit (e.g. a CMOS circuit) to temperatures above 450°C is a constraint on the processing of chips/materials with IC content, and this conflicts with the growth requirements of many ferroelectric layers.
A particularly important family of ferroelectric materials in use and under investigation for IR detector, actuator or FeRAM applications is the perovskites. This family include materials such as lead scandium tantalate (PST), lead zirconate titanate (PZT), barium strontium titanate (BST), lead titanate (PT) and others. For use as a ferroelectric the material layer must be in the perovskite phase. It can either be deposited directly into that phase at an elevated temperature or at a lower temperature which is then subsequently annealed into the ferroelectric perovskite phase. Layers deposited at low temperatures are generally in an amorphous, pyrochlore or other phase which is incapable of exhibiting ferroelectricity. For PST, for example, the material must be deposited at temperatures in excess of 450°C to enter the perovskite phase. Direct depositing of these materials in a perovskite phase is therefore incompatible with the temperature budgets of integrated circuitry.
One known way of providing a layer of ferroelectric material in the perovskite phase without damaging an IC provided on a base layer is to deposit the material in a non- ferroelectric state at a low temperature (say less than 450°C). The material may then be annealed using a laser to heat the layer sufficiently to convert the material into its perovskite phase. An example of such a laser annealing technique is described in US5310990.
In order to heat the ferroelectric layer sufficiently without damaging the underlying integrated Circuitry, a laser wavelength is chosen which is strongly absorbed by the ferroelectric layer. Typically the laser radiation is pulsed and the temporal width of the pulse is kept sufficiently short such that the heat diffusion length is small enough to prevent the induced heat wave from penetrating through the various layers to the IC layer.
Commercially available excimer lasers generate ultraviolet (UN) radiation of a wavelength that is strongly absorbed by typical ferroelectric layers such as PST and PZT. Typically such lasers generate pulses having relatively short pulse durations; for example around 25ns. Although such short pulses can anneal thin PST layers (up to say lOOnm in thickness), the energy density required to generate sufficient temperature at the bottom of a thick layer of PST will significantly increase the surface temperature at the top (i.e. the irradiated surface) of that layer. The surface heating effect can cause surface damage, poor crystallisation and crystal quality, poor film physical integrity and loss of stoichiometry due to evaporation of volatile components.
In addition to surface heating effects, known laser annealing techniques also lack control over the orientation of the crystalline phase that is grown during the annealing process. For thicker films, nucleation will occur as a bulk effect in a similar fashion to bulk ceramic ferroelectric materials with the consequent random, or at least mixed, orientation of the grain crystal axes.
WO 00/54317 describes an annealing process in which a temporal extender is provided to deliver a laser pulse at a slower rate than is possible with a non-extended commercially available laser source. The extended pulse increases the diffusion length through the material thus allowing thicker films to be annealed without surface damage. Although the pulse extension technique mitigates some of the problems associated with excessive surface heating in thicker films, the problems associated with nucleation and growth control remain.
According to a first aspect of the invention, a method for annealing a film comprises the steps of (i) taking a film carried on a temperature sensitive substrate, the film having a radiation absorbing structure formed thereon, and (ii) illuminating the radiation absorbing structure with radiation produced by a laser, wherein the radiation^ absorbing structure is heated sufficiently by the radiation to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate. The present invention thus permits a film to be annealed without any heat induced damage of the underlying substrate. Furthermore, the use of a radiation absorbing structure to convert the incident radiation to heat minimises any damage to the surface of the film that may arise from excessive heating. The present invention is thus advantageous over the prior art techniques described above in which surface heating of the film itself is used during the annealing process. In particular, the present invention will permit films having a thickness greater than around lμm to be annealed without any significant damage to the surface of the film.
Furthermore, the present invention provides absorption which is independent of the thickness of the film. In other words, the film does not have to be a certain minimum thickness to ensure that there is sufficient absorption of the incident radiation. This is particularly advantageous in FeRAM applications where the layer of ferroelectric material used is typically very thin and would thus absorb only a small amount of any radiation directly incident on it.
Herein, the term anneal is used to mean the permanent alteration of the properties of a material by heating. For example, in the case of ferroelectric films, annealing includes converting all or some of a film from a non-ferroelectric state into a phase capable of exhibiting ferroelectricity. Annealing in the context of ferroelectric films
/ would also include improving the ferroelectricity being exhibited, for example by converting more of the film into a ferroelectric state.
A person skilled in the art would recognise that the term "film" as used herein means a deposited layer, or a plurality of deposited layers, of material. Those skilled in the art may also refer to a "film" as a '-'thin film" (noting that theterm "thin" is not typically taken to mean a film of any particular thickness) or a coating. The term film is thus used herein to describe a layer of material formed (e.g. spin coated, sputtered or grown) on a substrate as opposed to a piece of bulk material.
Conveniently, the radiation absorbing structure comprises a nucleation layer in contact with the film. The presence of a nucleation, or seeding, layer is advantageous because it helps to initiate growth of the required crystalline phase of the film which is being annealed.
Preferably, the nucleation layer comprises platinum. Alternatively, the nucleation layer may comprise a conducting oxide. For example, a layer of perovskite structure conducting oxide material such as Lanthanum Nickelate or Strontium Ruthenate may be used. Such materials can be closely lattice matched to perovskite ferroelectric materials and provide a suitable template for perovskite growth.
Advantageously, at least one layer of the radiation absorbing structure is a dielectric layer. In other words, the radiation absorbing structure includes a dielectric layer, for example on its external surface (i.e. the surface furthermost from the substrate), to maximise the amount of energy from the radiation that is retained within the radiation absorbing structure for conversion into heat. Conveniently, the dielectric layer comprises one or more layers of Silicon Dioxide.
Preferably, the dielectric layer has a thickness substantially equal to an odd multiple of a quarter of the wavelength of the radiation propagating within the dielectric layer. This increases the amount of radiation coupled into the dielectric layer and increases the heating effect that is obtained. It would be appreciated by the skilled person that, for a dielectric layer with a finite optical absorption, the maximum absorption condition would be shifted very slightly (e.g. by a few percent) from the quarter wavelength condition due to optical absorption effects.
Advantageously, the radiation absorbing structure is a resonant absorber structure. For ex--mple,*the radiation absorbing structure may comprise both a nucleation layer and a dielectric layer. In such a structure, the dielectric layer is arranged to maximise the amount of radiation absorbed by nucleation layer.
The radiation absorbing structure may also comprise an outermost metallic layer (e.g. a thin Titanium layer). A three layer stack may thus be formed that comprises a nucleation layer in contact with the film, a dielectric layer formed on the nucleation layer and an outermost metallic layer formed on the dielectric layer. The nucleation- dielectric-metal layer structure further enhances the absorption properties of the radiation absorbing structure. A variety of alternative multiple layer radiation absorbing structures could be used.
Conveniently, the method further comprises the initial step of depositing the radiation absorbing structure on the film that is carried on the temperature sensitive substrate.The actual deposition process used will depend on the type of radiation absorbing structure required. As described above, the radiation absorbing structure may comprise a single layer, or a plurality of layers.
Advantageously, the radiation absorbing structure may be formed so as to partially cover the film. In other words, a patterned radiation absorbing structure may be used to allow selective annealing of parts of the film. Regions of the film not covered by the radiation absorbing structure may or may not be masked (e.g. with a highly reflective material) as required. This arrangement overcomes the need for beam shaping (e.g. as described in US5310990) when selectively annealing. Although forming a radiation absorbing structure that partially covers the film is preferred for selective annealing, selective exposure of portions of a radiation absorbing structure may also be used.
Preferably, the film is a mixed oxide ferroelectric film. It should be noted that the mixed oxide material may not actually exhibit ferroelectric properties when initially deposited on the substrate; i.e. it may be deposited at a low temperature and hence initially be in a non-ferroelectric phase. However, the term ferroelectric film shall herein mean any film that is, or is capable of being annealed to become, ferroelectric.
Advantageously, the ferroelectric film comprises low grade deposited perovskite phase material and the annealing step improves the quality of the perovskite material. Conveniently, the film comprises material deposited substantially in the non- perovskite phase and the annealing step converts some or all of the material into the perovskite phase.
The ferroelectric film is preferably any one of lead scandium tantalate (PST), lead zirconate titanate (PZT), strontium bismuth tantalate (SBT), barium strontium titanate (BST) or lead titanate (PT).
Advantageously, the method may further comprise the step of removing some or all of the radiation absorbing structure after the film has been annealed.
Conveniently, the substrate comprises an integrated circuit which may comprise Silicon. For example, it may comprise polysilicon on a glass substrate.
Advantageously, the substrate is flexible. For example, the substrate could comprise polyamide or a plastic.
Advantageously, the step of illuminating the radiation absorbing structure with radiation produced by the laser comprises illuminating the radiation absorbing structure with a pulse of radiation. It should be noted that the pulse of radiation with which the radiation absorbing structure is illuminated may be produced by the laser in a number of ways. For example, a pulsed laser source may be used or the output from a CW laser could be intensity modulated (e.g. chopped). Alternatively, any other means of exposing a specific portion of the radiation absorbing structure to a short burst of radiation could be used. For example, a CW laser could be rapidly scanned across the radiation absorbing structure; this would also locally illuminate regions of the radiation absorbing structure with a short duration burst (i.e. a pulse) of radiation.
Preferably, the step of illuminating the radiation absorbing structure with a pulse of radiation produced by the laser is repeated a plurality of times Conveniently, an excimer laser is used to produce the pulse of radiation. Alternatively any other suitable pulsed laser source, such as a transverse excited atmospheric carbon dioxide (TEA CO2) laser, may advantageously be used to produce the pulse of radiation.
Furthermore, the pulse of radiation may advantageously be produced by a pulsed laser and pulse extension means. For example, a temporal pulse extender of the type described in WO 00/54317 could be used.
Conveniently, the film is carried by the substrate in a microbridge arrangement. In other words, the entirety of the film may not be in contact the substrate; i.e. an air gap may be provided to thermally isolate the film from the substrate.
According to a second aspect of the invention, a method of producing an infra-red detector comprises the method according to the first aspect of the invention
According to a third aspect of the invention, a method of producing a film device comprises the steps of (i) forming a film on a temperature sensitive substrate, and (ii) forming a radiation absorbing structure on the film, wherein the radiation absorbing structure is adapted such that it can be heated sufficiently by a pulse of radiation of a given wavelength to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.
Conveniently, the method further comprises the step of illuminating the radiation absorbing structure with a pulse of radiation of the given wavelength.
Advantageously, the step of forming a radiation absorbing structure on the film comprises the step of depositing a nucleation layer directly on the film.
Preferably, the step of forming a radiation absorbing structure on the film comprises the step of depositing a dielectric layer. Conveniently, the radiation absorbing structure formed is a resonant absorber structure.
The film may conveniently be a mixed oxide ferroelectric film.
Preferably, the method may further comprising the step of removing some or all of the radiation absorbing structure after the film has been annealed.
According to a fourth aspect of the invention, a device incorporates a film layer annealed using the method of the first or third aspect of the invention.
According to a fifth aspect of the invention, an intermediate film device comprises a pre-annealed film carried on a substrate comprising an integrated circuit wherein the film has a radiation absorbing structure formed thereon. The intermediate film device may advantageously be used in a method according to the first aspect of the invention. The intermediate film device may advantageously be fabricated using the method of the third aspect of the invention.
Preferably, the film is a ferroelectric film.
Conveniently, the radiation absorbing structure comprises a nucleation layer in contact with the film.
The invention will now be described, by way of example only, with reference to the following drawings in which;
Figure 1 illustrates a prior art annealing process;
Figure 2 illustrates an annealing process according to the present invention;
Figure 3 shows the predicted absorption properties of a Silicon Nitride absorber layer as a function of absorber layer thickness for 10.6μm radiation; Figure 4 shows the theoretical absorption properties of a Silicon dioxide absorber layer as a function of absorber layer thickness for 10.6μm radiation;
Figure 5 shows the predicted absorption properties of a Silicon dioxide absorber layer as a function of absorber layer thickness for 10.6μm radiation using measured silicon dioxide optical properties,
Figure 6 illustrates the absorption properties of a Silicon dioxide absorber layer capped with a thin layer of titanium using measured optical properties,
Figure 7 shows the predicted absorption properties of a Silicon Nitride absorber layer as a function of absorber layer thickness for 308nm radiation; and
Figure 8 shows the intensity of radiation as a function of depth through a structure of the type described with reference to figure 7.
Referring to figure 1, a typical prior art annealing technique is illustrated. A laser pulse 2 is directed to the surface of a PST ferroelectric film 4 of thickness d which is located on a substrate 6.
The substrate 6 is formed from a number of layers, but only the uppermost electrode layer 8 is shown separately. A skilled person would recognise that the substrate 6 will comprise various adhesion and barrier layers and integrated circuitry (e.g. CMOS). As described above, it is the integrated circuitry of the substrate which is particularly temperature sensitive and may be destroyed, or damaged, if exposed to an elevated temperature. In the case of CMOS circuitry, exposure to temperatures exceeding around 450°C causes permanent damage. In other words, the substrate 6 has a temperature budget that must not be exceeded during the annealing process.
Substrates formed as so-called microbridge structures are also known. Typical microbridge structures are described in more detail with reference to figures 1 and 10 of WO 00/54317 the content of which is incorporated herein by reference thereto. In a typical microbridge structure the ferroelectric film is deposited on a substrate having a sacrificial layer which can subsequently be removed to provide an air gap. This enhances the thermal isolation of the ferroelectric film from the substrate, thereby enhancing device performance. The term substrate as used herein should thus be taken to mean anything on or above which the ferroelectric film is located.
The PST ferroelectric film 4 may be deposited on the substrate 6 using any one of a variety of known techniques. For example, chemical solution deposition, RF magnetron sputtering, metal-organic chemical vapour deposition or laser ablation. To prevent thermal damage to the substrate 6, the PST ferroelectric film is deposited on the substrate at relatively low temperatures (typically less than 450°C). The deposited PST layer is thus initially in an amorphous or pyrochlore phase that does not exhibit ferroelectricity.
The laser pulse 2 is typically produced by an excimer laser (e.g. a KrF excimer laser which produces radiation having a wavelength of 248nm) and is strongly absorbed by the PST ferroelectric film. The relatively short duration of the laser pulse results in localised heating of the ferroelectric film, primarily, at its upper surface 10, without any significant heating of the substrate 6. This localised heating causes the PST film layer to be converted from a non-ferroelectric state to a ferroelectric form; i.e. the ferroelectric film is annealed. As described in WO 00/54317, P. P. Donohue, PhD Thesis, University of Southampton, 2001 and Donohue and Todd, Integrated Ferroelectri.es, Vol. 31, pp285-296, 2000, the laser pulse duration may also be extended which can reduce surface damage when thicker films are annealed.
As described above, there are various problems associated with known laser annealing techniques. For example, it can prove difficult to provide full conversion to the required ferroelectric phase throughout the thickness of the ferroelectric film. In other words, existing techniques can only provide a limited depth of phase conversion of the film, especially in lead containing ferroelectric films. Although the conversion depth can be increased using pulse-extension, it remains limited. Another problem associated with known laser annealing techniques is the inability to control the orientation of the required crystalline phase as it is grown. For thicker films, say greater than lμm in thickness, nucleation occurs as a bulk effect in a similar fashion to bulk ceramic ferroelectric materials with the consequent random, or at least mixed, orientation of the grain crystal axes.
Referring to figure 2, an annealing technique of the present invention is illustrated. Elements common to those described with reference to figure 1 are assigned like reference numerals.
In accordance with the invention, a dual layer radiation absorbing structure 20 is located on the PST ferroelectric film 4. The radiation absorbing structure 20 comprises a first layer 22 and a second layer 24. The first layer 22 is formed from a quarter wavelength (at the laser wavelength) coating of silicon dioxide. The second layer 24 comprises a layer of Platinum that is sufficiently optically thick to prevent a significant amount of radiation passing to the underlying film 4.
The first and second layers in combination provide a structure that efficiently absorbs the incident laser radiation pulse 2, thereby heating the second layer 24 to a temperature that is sufficient to nucleate growth of the ferroelectric phase in the film. 4. The ferroelectric phase then grows downwards (i.e. away from the interface of the second layer 24 and the film 4) and preferably converts the entire thickness of the film. Using the method of the present invention thus prevents the excessive surface heating of the ferroelectric film that is often associated with prior art direct heating techniques.
In this example, the second layer is formed from Platinum that will also act as a seeding layer to aid nucleation and growth of the ferroelectric phase. The seeding effect reduces the activation energy, and hence the temperature to which the film must be heated, required to initiate growth of the ferroelectric phase. Although platinum is preferred, the second layer 24 could alternatively comprise another metal, a conducting oxide (such as lanthanum nickelate or strontium ruthenate) or an insulating oxide. The use of a material which acts as a seeding layer is preferred, but it is not essential.
The second layer 24 could alternatively comprise a material that provides control over the orientation of the growth of the ferroelectric phase. For example, a seeding function similar to that obtained using Platinum would be provided by perovskite structure conducting oxides. Such conducting oxides can also be closely lattice matched to perovskite ferroelectrics, thus providing a growth template for the required perovskite growth. This template effect provides control over the orientation of the grown ferroelectric phase. The ability to gain orientation control during ferroelectric film growth is particularly important in devices that rely on having a significant component of the polar axis of the ferroelectric in a particular direction.
It would be appreciated by the skilled person that the duration and intensity of the laser pulse 2 would be selected so as to heat the second (e.g. platinum) layer 24 sufficiently to anneal the underlying film 4 without exceeding the temperature budget of the substrate 6. If required, a laser pulse-extension technique of the type described in patent application WO00/54317 could be used.
As an approximation, the thermal diffusion length (d) within a layer can be expressed as:
Figure imgf000015_0001
where D is the diffusivity and τ is the pulse length. The diffusivity (D) is given by:
D = - (2)
P-C0 where K is the thermal conductivity, p is the density and Cp is the heat capacity. In this manner, the preferred pulse length can be estimated for films of a given thickness.
After laser annealing, the first layer 22 could be removed using an appropriate micro-machining technique. The second layer 24 could also be removed or, if it is sufficiently conducting, all or part of it could be retained to serve as a top electrode for the ferroelectric layer. Once an insulating, or poorly conducting, second layer has been removed a high conductivity metal electrode could subsequently be deposited to provide an electrical contact.
To maximise absorption of laser radiation, the properties of the absorbing material (e.g. layer thickness) forming the first layer 22 are tailored to the particular wavelength of the laser used. Although silicon dioxide is described above, numerous alternative dielectric materials could be used (e.g. a silicon nitride etc). In fact, the first layer could comprise any material which assist absorption of the incident radiation pulse. In particular it is advantageous, although not essential, to select a material for the first layer 22 which maximises absorption of the radiation by the second layer 24; this ensures as much heat as possible is coupled in to the film.
Figure 3 shows the predicted absorption (curve 30) as a function of Si3N4 layer thickness for 10.6μm radiation incident on Si3N4 topped stack. The stack comprises an Si N layer (which is illuminated with the 10.6μm radiation) located on a O.lμm thick layer of platinum which is carried by a PST film.
It can be seen that Si3N4 layers of a thickness "greater than around lμm provide absorption in excess of 30%. Furthermore, such absorption is almost independent of layer thickness for any layer thickness greater than around 2μm. The thickness of the layer can thus be selected to provide the required (e.g. maximum) amount of absorption. It should be noted that the choice of Si3N4 layer thickness will also require consideration to be given to its heat capacity; i.e. a thicker layer will have a heat capacity that limits the temperature rise in the underlying layers. Referring to figure 4, the predicted absorption as a function of SiO2 layer thickness for 10.6μm radiation incident on SiO2 topped stack is shown (i.e. curve 40). This calculation uses the optical properties of SiO2 contained in the Handbook of optical constants by E. D. Palik, Academic Press, 1991. The stack comprises an SiO2 layer (which is illuminated with the 10.6μm radiation) located on a O.lμm thick layer of platinum which is carried by a PST film. Local absorption maxima are observed for stacks comprising SiO2 layers around 1.8μm and 4.5μm in thickness. Again, the layer thickness can be selected to provide the desired level of absorption bearing in mind the heat capacity of the material.
Referring to figure 5, the absorption (curve 42) and reflection (curve 44) from a stack of the type described with reference to figure 4 are illustrated using the optical properties of an SiO2 film as measured over the required wavelength range using ellipsometry. In this case, local absorption maxima are observed for stacks comprising SiO2 layers around 1.3μm and 3.6μm in thickness. It can be seen that near total absorption is predicted from such a structure having a 3.6μm SiO2 layer.
The data presented in figures 4 and 5 illustrates the kind of absorption levels that can be achieved using an SiO2/Pt absorber structure. The skilled person would appreciate that the actual optical properties obtained from a device having such a structure would depend on the precise optical properties of the layers that were used. In a practical device for example, the SiO2 layer will have optical properties that are dependent on the deposition process conditions. However, it can be seen that high absorption levels will occur across a wide range of different SiO2 layers.
A thin metal layer (e.g. titanium) may also be added to the top surface (i.e. the surface furthest from the PST film) of a SiO2/Pt stack of the type described above with reference to figure 5. In this manner, a Ti/SiO2/Pt absorber structure is formed. Figure 6 shows the absorption properties (curve 46) of such a Ti/SiO2/Pt absorber stack, located on a PST film, as function of SiO2 layer thickness. It is assumed in the optical calculation that the Ti layer is 2.7nm thick, and the platinum layer is O.lμm thick. The absorption properties of the SiOs/Pt absorber structure shown in figure 5 are re-plotted in figure 6 (again as curve 42) for comparative purposes.
It can be seen from figure 6 that, for SiO2 layers less than lμm thick, the addition of the thin Ti layer (which is only 2.7nm thick and hence of negligible thermal mass) gives an advantage either in terms of reduced SiO2 thickness required for a given absorption (and hence lower thermal loading) or greater absorption for a given SiO2 thickness. For example, with 10.6μm radiation and an SiO2 layer of 0.6μm thickness, the Ti/SiO2/Pt structure gives around 39% absorption whereas the SiO2/Pt structure achieves only 15%. To realise a 39% absorption in the SiO2/Pt structure the SiO2 thickness must be increased to 0.84μm thereby increasing the thermal loading for the same absorption level as the Ti/SiO2/Pt structure.
A TEA CO2 laser can be used to produce radiation having a wavelength of 10.6μm. Typical TEA CO2 lasers can output relatively high optical powers and have a pulse duration that is controllable and can be significantly longer than typical excimer laser devices.
A standard excimer laser may also be used to illuminate the first layer, and figure 7 shows the absorption properties (curve 50) as a function of Si3N4 layer thickness for 308nm radiation incident on Si3N4 topped stack. The silicon nitride layer is located on a O.lμm thick layer of platinum which is carried on a PST film. It can be seen that the absorption maxima of the stack approaches 90% at this wavelength, however the optical power that can be output by a typical excimer laser is generally significantly lower than that produced by a typical TEA CO2 laser.
Referring to figure 8, the intensity of 308nm radiation as a function of depth for a stack of the type described with reference to figure 7 is shown. A first region 60 corresponds to the silicon nitride layer, a second region 62 corresponds to the O.lμm platinum layer and third region 64 is the PST film. It can be seen that the majority of the radiation is absorbed at the surface of the Platinum layer (i.e. in the second region 62). In this manner, the platinum layer is heated thereby nucleating growth of the perovskite phase of the PST film.
In accordance with the teachings contained herein, the skilled person would select a laser wavelength and absorbing material(s) that are optimised for the particular film to be annealed. It would also be appreciated by a person skilled in the art that radiation absorbing structures other than the dual layer resonant structure described above could be used to implement the present invention. For example, a single layer of material that strongly absorbs the laser radiation could be employed. Alternatively, a multiple layer stack (e.g. a stack comprising three or more layers) could be used.
Although ferroelectric films are described in the above examples, it should be noted that the annealing technique of the present invention is equally applicable to other types of film. For example, the present invention could be used to anneal magnetic films etc. Alternatively, the annealing of amorphous Silicon films carried on glass or plastic substrates as used in film transistor (TFT) liquid crystal display applications would be possible.

Claims

Claims
1. A method for annealing a film comprising the steps of ;
(i) taking a film carried on a temperature sensitive substrate, the film having a radiation absorbing structure formed thereon, and
(ii) illuminating the radiation absorbing structure with radiation produced by a laser,
wherein the radiation absorbing structure is heated sufficiently by the radiation to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.
2. A method according to claim 1 in which the radiation absorbing structure comprises a nucleation layer in contact with the film.
3. A method according to claim 2 in which the nucleation layer comprises platinum.
4. A method according to claim 2 in which the nucleation layer comprises conducting oxide.
5. A method according to any preceding claim in which the radiation absorbing structure comprises a plurality of layers.
6. A method according to any one of the preceding claim in which the radiation absorbing structure 6omprises a dielectric layer.
7. A method according to claim 6 in which the dielectric layer comprises one or more layers of Silicon Dioxide.
8. A method according to any one of claims 6 to 7 in which the dielectric layer has a thickness substantially equal to an odd multiple of one quarter of the wavelength of the radiation within said dielectric layer.
9. A method according to any one of claims 6 to 8 in which an outermost metallic layer is carried by the dielectric layer.
10. A method according to any one of the preceding claims in which the radiation absorbing structure is a resonant absorber structure.
11. A method according to any preceding claim wherein the radiation absorbing structure is formed so as to partially cover the film.
12. A method according to any one of the preceding claims and further comprising the initial step of depositing the radiation absorbing structure on the film that is carried on the temperature sensitive substrate.
13. A method according to any one of the preceding claims in which the film is a mixed oxide ferroelectric film.
14. A method according to claim 13 in which the ferroelectric film comprises low grade deposited perovskite phase material and the annealing step improves the quality of the perovskite material.
15. A method according to claim 13 in which the film comprises material deposited substantially in the non-perovskite phase and the anneahng step converts some or all of the material into the perovskite phase.
16. A method according to any one of claims 13 to 15 in which the ferroelectric film is any one of lead scandium tantalate (PST), lead zirconate titanate (PZT), strontium bismuth tantalate (SBT), barium strontium titanate (BST) or lead titanate (PT).
17. A method according to any one of the preceding claims and further comprising the step of removing some or all of the radiation absorbing structure after the film has been annealed.
18. A method according to any one of the preceding claims in which the substrate comprises an integrated circuit.
19. A method according to claim 18 in which the integrated circuit comprises Silicon.
20. A method according to any one of claims 1 to 18 in which the substrate is flexible.
21. A method according to any preceding claim wherein the step of illuminating the radiation absorbing structure with radiation produced by the. laser comprises illuminating the radiation absorbing structure with a pulse of radiation.
22. A method according to claim 21 wherein the step of illuminating the radiation absorbing structure with a pulse of radiation produced by the laser is repeated a plurality of times.
23. A method according to any one of claims 21 to 22 in which an excimer laser is used to produce the pulse of radiation.
24. A method according to any one claims 21 to 22 in which a transverse excited atmospheric carbon dioxide (TEA CO2) laser is used to produce the βulse of radiation.
25. A method according to any one of claims 21 to 24 wherein the pulse of radiation is produced by a pulsed laser and pulse extension means.
26. A method according to any one of the preceding claims in which the film is carried by the substrate in a microbridge arrangement.
27. A method of producing an infra-red detector comprising the method according to any one of preceding claims.
28. A method of producing a film device comprising the steps of;
(i) forming a film on a temperature sensitive substrate, and
(ii) forming a radiation absorbing structure on the film,
wherein the radiation absorbing structure is adapted such that it can be heated sufficiently by a pulse of radiation of a given wavelength to anneal all or some of the film without exceeding the temperature budget of the temperature sensitive substrate.
29. A method according to claim 28 and further comprising the step of illuminating the radiation absorbing structure with a pulse of radiation of the given wavelength.
30. A method according to any one of claims 28 to 29 in which the step of forming a radiation absorbing structure on the film comprises the step of depositing a nucleation layer directly on the film.
31. A method according to any one of claims 28 to 30 in which the step of forming a radiation absorbing structure on the film comprises the step of depositing a dielectric layer.
32. A method according to any one of claims 28 to 31 in which the radiation absorbing structure formed is a resonant absorber structure.
33. A method according to any one of claims 28 to 32 in which the film is a mixed oxide ferroelectric film.
34. A method according to any one of claims 28 to 33 and further comprising the step of removing some or all of the radiation absorbing structure after the film has been annealed.
35. A device incorporating a film layer annealed using the method as claimed in any one of the preceding claims.
36. An intermediate film device for use in the method of any one of claims 1 to 27 comprising a pre-annealed film carried on a substrate, wherein the film has a radiation absorbing structure formed thereon.
37. An intermediate film device comprising a pre-annealed film carried on a substrate comprising an integrated circuit wherein the film has a radiation absorbing structure formed thereon.
38. A device according to claim 36 or 37 wherein the film is a ferroelectric film.
39. A device according to any one of claims 36 to 38 wherein the radiation absorbing structure comprises a nucleation layer in contact with the film.
PCT/GB2003/005499 2002-12-18 2003-12-16 Annealing method and device WO2004055880A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003292427A AU2003292427A1 (en) 2002-12-18 2003-12-16 Annealing method and device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0229427.0 2002-12-18
GB0229427A GB2396481A (en) 2002-12-18 2002-12-18 Laser annealing method and device

Publications (1)

Publication Number Publication Date
WO2004055880A1 true WO2004055880A1 (en) 2004-07-01

Family

ID=9949871

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/GB2003/005499 WO2004055880A1 (en) 2002-12-18 2003-12-16 Annealing method and device

Country Status (3)

Country Link
AU (1) AU2003292427A1 (en)
GB (1) GB2396481A (en)
WO (1) WO2004055880A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024041048A1 (en) * 2022-08-25 2024-02-29 International Business Machines Corporation Localized anneal of ferroelectric dielectric

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7622374B2 (en) 2005-12-29 2009-11-24 Infineon Technologies Ag Method of fabricating an integrated circuit
CN108559954B (en) * 2018-03-30 2020-01-21 湖北大学 Preparation method of negative thermal expansion lead zirconate titanate film
CN116261337B (en) * 2023-05-15 2023-12-22 宁德时代新能源科技股份有限公司 Perovskite battery, photovoltaic module, photovoltaic power generation system and electric equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054317A1 (en) * 1999-03-06 2000-09-14 Quinetiq Limited Improvements relating to annealing
EP1217658A2 (en) * 2000-12-20 2002-06-26 Fujitsu Limited Process for producing high quality PZT films for ferroelectric memory integrated circuits
US20020139784A1 (en) * 2001-03-27 2002-10-03 Nec Corporation Method for manufacturing infrared ray sensor
US20020140012A1 (en) * 2001-03-30 2002-10-03 Motorola, Inc. Semiconductor structures and devices for detecting far-infrared light and methods for fabricating same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310990A (en) * 1991-06-03 1994-05-10 The United Stated Of America As Represented By The Secretary Of The Navy Method of laser processing ferroelectric materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054317A1 (en) * 1999-03-06 2000-09-14 Quinetiq Limited Improvements relating to annealing
EP1217658A2 (en) * 2000-12-20 2002-06-26 Fujitsu Limited Process for producing high quality PZT films for ferroelectric memory integrated circuits
US20020139784A1 (en) * 2001-03-27 2002-10-03 Nec Corporation Method for manufacturing infrared ray sensor
US20020140012A1 (en) * 2001-03-30 2002-10-03 Motorola, Inc. Semiconductor structures and devices for detecting far-infrared light and methods for fabricating same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
TODD, M.A. ET AL.: "HIGH PERFORMANCE FERROELECTRIC AND MAGNETORESISTIVE MATERIALS", PROC. SPIE (INT. SOC. OPT. ENG.), vol. 4795, no. 1, 5 December 2002 (2002-12-05), USA, pages 88 - 99, XP001190873 *
TODD, M.A. ET AL.: "THIN FILM FERROELECTRIC MATERIALS FOR MICROBOLOMETER ARRAYS", PROC. SPIE (INT. SOC. OPT. ENG.), vol. 4130, 2000, USA, pages 128 - 139, XP001190872 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024041048A1 (en) * 2022-08-25 2024-02-29 International Business Machines Corporation Localized anneal of ferroelectric dielectric

Also Published As

Publication number Publication date
AU2003292427A1 (en) 2004-07-09
GB2396481A (en) 2004-06-23
GB0229427D0 (en) 2003-01-22

Similar Documents

Publication Publication Date Title
US6709776B2 (en) Multilayer thin film and its fabrication process as well as electron device
EP0716162B1 (en) Ferroelectric thin film, ferroelectric thin film covering substrate and manufacturing method of ferroelectric thin film
Zhu et al. Laser-assisted low temperature processing of Pb (Zr, Ti) O 3 thin film
US6197600B1 (en) Ferroelectric thin film, manufacturing method thereof and device incorporating the same
US20050105038A1 (en) Thin film multilayer body, electronic device and actuator using the thin film multilayer body, and method of manufacturing the actuator
US6955925B1 (en) Annealing
Song et al. Toward low-temperature processing of lead zirconate titanate thin films: Advances, strategies, and applications
EP0981171A2 (en) Process for fabricating device comprising lead zirconate titanate
JP4427925B2 (en) Laminated thin film manufacturing method and electronic device
WO2004055880A1 (en) Annealing method and device
JP3206105B2 (en) Method for manufacturing dielectric element and semiconductor memory device
Purice et al. Ferroelectric thin films obtained by pulsed laser deposition
US6507478B1 (en) Device having a crystalline thin film of complex compound
KR19990006318A (en) Deposition of Ferroelectric Films and Ferroelectric Capacitor Devices
US10424718B2 (en) Piezoelectric film, piezoelectric device, and method for making piezoelectric film
Zhao et al. Preparation of (0 0 1)-oriented PZT thin films on silicon wafers using pulsed laser deposition
Suchaneck et al. Ferroelectric thin films: deposition, advanced film characterization and novel device concepts
JP2004281742A (en) Semiconductor device, semiconductor sensor and semiconductor memory element
KR100795664B1 (en) 001-orientated perovskite film formation method and device having perovskite film
Vélu et al. Dielectric and ferroelectric properties of Perovskite Pb (Zr, Ti) O3 films deposited by sputtering on Si substrate
JP2000252444A (en) Thin-film capacitor
Brodoceanu et al. Pulsed laser deposition of oxide thin films
Jiang et al. Ferroelectric properties of lead zirconate titanate thin film on glass substrate crystallized by continuous-wave green laser annealing
JPH05254994A (en) Ferroelectric thin film
US7101720B2 (en) Mixed noble metal/noble metal oxide bottom electrode for enhanced PGO c-axis nucleation and growth

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP