WO2004070820A1 - 配線の作製方法 - Google Patents

配線の作製方法 Download PDF

Info

Publication number
WO2004070820A1
WO2004070820A1 PCT/JP2004/000897 JP2004000897W WO2004070820A1 WO 2004070820 A1 WO2004070820 A1 WO 2004070820A1 JP 2004000897 W JP2004000897 W JP 2004000897W WO 2004070820 A1 WO2004070820 A1 WO 2004070820A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
gas
film
electrode
wiring
Prior art date
Application number
PCT/JP2004/000897
Other languages
English (en)
French (fr)
Inventor
Shunpei Yamazaki
Hideaki Kuwabara
Original Assignee
Semiconductor Energy Laboratory Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co., Ltd. filed Critical Semiconductor Energy Laboratory Co., Ltd.
Priority to KR1020117023753A priority Critical patent/KR101415131B1/ko
Priority to JP2004564058A priority patent/JP4437544B2/ja
Priority to EP04706799A priority patent/EP1592053B1/en
Priority to KR1020057013264A priority patent/KR101061891B1/ko
Publication of WO2004070820A1 publication Critical patent/WO2004070820A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1288Multistep manufacturing methods employing particular masking sequences or specially adapted masks, e.g. half-tone mask
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/60Forming conductive regions or layers, e.g. electrodes
    • H10K71/611Forming conductive regions or layers, e.g. electrodes using printing deposition, e.g. ink jet printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/805Electrodes
    • H10K50/81Anodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/122Pixel-defining structures or layers, e.g. banks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • H10K71/231Changing the shape of the active layer in the devices, e.g. patterning by etching of existing layers

Definitions

  • the present invention relates to a method for manufacturing a wiring, a contact hole, and a display device, and more particularly, to a method for manufacturing a thin film such as a resist pattern using a droplet jetting method (an ink jet method or a droplet discharging method), a method for producing a CVD (chemical vapor (Growth) method, thin film production method by vapor deposition method or sputtering method, local etching treatment method under atmospheric pressure or near atmospheric pressure, wiring using any method of asshing treatment method, contact hole And a method for manufacturing a display device. Further, the present invention relates to a semiconductor manufacturing apparatus for forming a thin film.
  • a droplet jetting method an ink jet method or a droplet discharging method
  • CVD chemical vapor (Growth) method
  • thin film production method by vapor deposition method or sputtering method local etching treatment method under atmospheric pressure or near atmospheric pressure
  • wiring any method of asshing treatment method
  • contact hole And a method for manufacturing
  • TFTs Thin film transistors formed using thin films on the surface are widely applied to integrated circuits and the like, and are often used as switching elements.
  • TFT-based display panels is expanding, especially for large display devices, and the demand for higher definition, higher aperture ratio, higher reliability, and larger screen size is increasing.
  • a method for manufacturing a wiring in such a thin film transistor there is a method in which a coating of a conductive layer is formed on the entire surface of a substrate, and then etching is performed using a mask.
  • a lithography technique is used in which a film of a photosensitive resin (photoresist) is formed on a substrate and exposed and developed using a mask on which a pattern is drawn and ultraviolet rays. The resist pattern formed by this technique is used as a mask during the etching process (see Patent Document 1).
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2002-359246
  • LCD TVs that are thin and lightweight, which are not available in CRT TVs, have been spreading.
  • Screen size is an important factor in increasing the added value of LCD TVs, and less than 20 inches accounts for almost 70% of the current unit-by-inch composition ratio, while 20 inches As described above, a large-sized liquid crystal television having a size of, for example, 40 inches has appeared.
  • This increase in screen size is accelerating the expansion of the board size, and the transition is progressing to the fourth generation (680X880, 730X920) and the fifth generation (1000X1200), and the resolution is also VGA ( 640XRGBX480), SVGA (800XR GBX 600), XGA (1024 X RGB X 768), SXGA (128 OXRGB X 1024) are becoming more and more high definition. Disclosure of the invention
  • a resist coating is formed by using a spin-coating method in which a resist solution is dropped, the substrate is rotated (spinned), and the coating is formed by the centrifugal force. In this case, about 95% of the resist dropped during spin coating is scattered. Therefore, attempts have been made to improve the resist material, spin speed, and spin method, but about 90% of the registry is wasted. These problems are particularly acute when large substrates are used.
  • the present invention has been made in view of such a problem, and by using a droplet jetting method, it is possible to improve a throughput ⁇ a material use efficiency and reduce a manufacturing cost and a wiring and a contact hole. And a method for manufacturing a display device. Another object is to provide a method for manufacturing a wiring, a contact hole, and a display device which can cope with an increase in the size of a substrate by using a plasma treatment method at or near atmospheric pressure.
  • Another object is to provide a semiconductor manufacturing apparatus capable of realizing a method for manufacturing a wiring, a contact hole, and a display device which solves the above problems.
  • the present invention provides a resist pattern in contact with the conductive layer by forming a conductive layer on a substrate having an insulating surface by a CVD method, a vapor deposition method, or a sputtering method, and using a head that sprays a composition containing a photosensitive agent. Forming a wiring, performing an etching process on the conductive layer using the resist pattern as a mask, and then performing an asking process on the resist pattern.
  • the resist pattern is formed by scanning the head or the substrate, and the etching process or the assing process includes a plurality of plasma generating means arranged linearly at or near atmospheric pressure. Is performed by scanning.
  • a semiconductor layer or a conductive layer is formed on a substrate having a surface by a CVD method, an evaporation method, or a sputtering method, and a semiconductor layer or a conductive layer is formed on the semiconductor layer or the conductive layer.
  • a method for producing a contact hole wherein an etching process is performed on an edge layer to form a contact hole reaching the semiconductor layer or the conductive layer, wherein the etching process is performed under an atmospheric pressure or near an atmospheric pressure. It is characterized in that scanning is performed by a plurality of plasma generating means arranged in a plurality.
  • the present invention is characterized in that a display device is manufactured using one or both of the above-described method for manufacturing a wiring and a method for manufacturing a contact hole.
  • Examples of the display device include all display devices using thin-film technology, such as a liquid crystal display device using a liquid crystal element and a light emitting device using a self-luminous element.
  • the present invention provides a method for forming a conductive layer on a substrate having an insulating surface by a CVD method, a vapor deposition method or a sputtering method, and a liquid for forming a resist pattern using a head for spraying a composition containing a photosensitive agent.
  • a semiconductor manufacturing apparatus comprising: a droplet ejecting unit; a moving unit that moves the substrate or the head; and a plurality of plasma generating units that perform an etching process or an asshing process at or near atmospheric pressure.
  • the plurality of plasma generating means are linearly arranged.
  • the conductive layer or the semiconductor layer is formed by a CVD method, an evaporation method, or a sputtering method, and is preferably formed selectively. Specifically, by using a mask (metal mask), a film is selectively formed only at a desired portion without being formed on the entire surface of the substrate. Also for example evaporation In the case of the method, the supply port for supplying the deposition source is narrowed and scanning is performed, so that the film is not formed on the entire surface of the substrate but is selectively formed only at a desired portion.
  • the formation of the resist pattern is characterized by using a head for spraying a composition containing a photosensitive agent.
  • This uses a so-called droplet ejection method (inkjet method), and is performed by scanning a head or a plate.
  • the use efficiency of the resist material is significantly improved as compared with a case where a resist pattern is formed using spin coating, and the manufacturing cost is reduced.
  • the accuracy can be improved and a film can be formed only at a desired position.
  • the etching process or the assing process is performed by scanning a plurality of linearly arranged plasma generating means at or near atmospheric pressure. Since this process does not require vacuum equipment, it can improve productivity and reduce manufacturing costs.
  • a plurality of plasma generating means arranged linearly is advantageous in terms of tact time.
  • a plurality of plasma generating means are linearly arranged so as to have the same length as one side of the substrate. Once positioned, the process can be completed with a single scan. Note that the scanning direction is not limited to the direction parallel to one side of the substrate, and scanning may be performed in an oblique direction.
  • the present invention which does not need to constantly supply a reaction gas leads to gas saving and reduction in production cost.
  • plasma is generated only in one or more selected from the plurality of plasma supply units. That is, a plurality of plasma generating means arranged linearly are scanned relative to the substrate, and the -It is set so that the reaction gas is supplied only to a desired portion where a rule is to be formed.
  • the present invention having such a configuration improves the gas use efficiency and reduces the production cost as compared with the case where the reaction gas is supplied to the entire surface.
  • the present invention having the above-described configuration can reduce the space and efficiency of the production line, significantly improve the quality of the display panel, improve the productivity, reduce the production cost, and provide wiring and contacts that are environmentally friendly.
  • a method for manufacturing a hole and a display device can be provided.
  • high-speed, continuous processing is possible because of the atmospheric pressure method that enables in-line processing linked to production.
  • the amount of wasted material is reduced, thereby improving the use efficiency of the material and reducing the manufacturing cost.
  • a resist pattern that is in contact with a conductive layer on a substrate is formed by using a head that sprays a composition containing a photosensitive agent, and the conductive layer is subjected to a etching process using the resist pattern as a mask.
  • a method of manufacturing a wiring for performing an asking process on the resist pattern wherein the conductive layer is formed by a CVD method, a sputtering method, or an evaporation method, and the resist pattern moves the head or the substrate.
  • the etching process or the asshing process is performed at or near atmospheric pressure using a plasma generating means.
  • a display device is manufactured using the above wiring manufacturing method.
  • the display device include all display devices using a thin-film technology, such as a liquid crystal display device using a liquid crystal element and a light emitting device using a self-luminous element.
  • the present invention having the above configuration can reduce the space and efficiency of the production line, In this way, it is possible to provide a method for manufacturing wiring, contact holes, and a display device that contributes to a great improvement in quality, productivity, and a reduction in manufacturing cost by manufacturing the wiring.
  • high-speed, continuous processing is possible because of the atmospheric pressure method that enables in-line processing linked to production.
  • the amount of wasted material is reduced, thereby improving the use efficiency of the material and reducing the manufacturing cost.
  • FIG. 1 is a diagram showing a plasma processing apparatus.
  • FIG. 2 is a diagram showing a plasma processing apparatus.
  • FIG. 3 is a diagram illustrating the droplet ejection method.
  • FIG. 4 is a diagram illustrating a method of manufacturing a wiring.
  • FIG. 5 is a diagram illustrating a method for manufacturing a wiring.
  • FIG. 6 is a diagram for explaining a method for forming a contact hole.
  • FIG. 7 is a diagram illustrating a droplet ejecting apparatus.
  • FIG. 8 is a diagram for explaining a manufacturing flow.
  • FIG. 9 is a diagram illustrating a sputtering apparatus.
  • FIG. 10 is a diagram illustrating a vapor deposition apparatus.
  • FIG. 11 is a diagram illustrating a liquid crystal display device.
  • FIG. 12 is a diagram illustrating an electronic device.
  • FIG. 13 is a diagram illustrating a method for manufacturing a thin film transistor.
  • FIG. 14 is a diagram showing a cross-sectional structure of a thin film transistor.
  • FIG. 15 is a top view of the thin film transistor.
  • FIG. 16 illustrates a method for manufacturing a display device.
  • FIG. 17 is a diagram showing a plasma processing apparatus.
  • FIG. 18 is a diagram showing a plasma processing apparatus.
  • FIG. 19 is a diagram showing a plasma processing apparatus.
  • FIG. 20 is a diagram showing a plasma processing apparatus.
  • FIG. 21 illustrates a method for manufacturing a thin film transistor.
  • FIG. 22 is a diagram showing a method for producing a thin film transistor.
  • FIG. 23 illustrates a method for manufacturing a thin film transistor.
  • a plasma generating means in which a plurality of electrodes are linearly arranged is scanned to perform an etching process at or near atmospheric pressure (6.6 ⁇ 10 2 to 1.1 ⁇ 10 5 Pa). Performing atthing processing. Therefore, referring to FIGS. 1 and 2, as an example of a plasma processing apparatus used in the present invention, a first electrode surrounds a second electrode. Only a device having a plurality of cylindrical electrodes having a nozzle-like narrow mouth at the tip will be described.
  • FIG. 2A is a top view of the device
  • FIG. 2B is a cross-sectional view of the device.
  • an object 13 such as a glass substrate or a resin substrate represented by a plastic substrate of a desired size is set in a cassette chamber 16.
  • a typical method of transporting the workpiece 13 is horizontal transport, but when using substrates of the 5th generation or later, which is a typical workpiece 13, the area occupied by the transporter is reduced. For this purpose, the substrate may be transported vertically with the substrate placed vertically.
  • the workpiece 13 arranged in the cassette chamber 16 is transferred to the plasma processing chamber 18 by the transfer mechanism (port arm) 20.
  • the transfer mechanism (port arm) 20 In the plasma processing chamber 18 adjacent to the transfer chamber 17, the airflow control means 10, the plasma generating means 12 having a plurality of cylindrical electrodes arranged linearly, and the plasma generating means 12 are moved. Rails 14a, 14b, etc. are provided.
  • a known heating means such as a lamp is provided as necessary.
  • the airflow control means 10 is for the purpose of dust prevention, and controls the airflow so as to be shielded from the outside air by using an inert gas injected from the gas outlet 23.
  • the plasma generating means 12 is moved to a predetermined position by a rail 14a arranged in the transport direction of the workpiece 13 and a rail 14b arranged in a direction perpendicular to the transport direction.
  • FIG. Fig. 1 (A) shows a # 1 view of the plasma generating means 12 in which a plurality of cylindrical electrodes are linearly arranged.
  • Figs. 1 (B) to (D) show cross sections of the cylindrical electrodes. The figure is shown.
  • the first electrode 21 is connected to a power source (high-frequency power source) 29.
  • a cooling system (not shown) for circulating cooling water may be connected to the first electrode 21.
  • the second electrode 22 has a shape surrounding the first electrode 21 and is electrically grounded.
  • Each of the first electrode 21 and the second electrode 22 has a cylindrical shape having a nozzle-like gas port at its tip.
  • first electrode 21 and the second electrode 22 may be covered with a solid dielectric.
  • the solid dielectric include metal oxides such as aluminum oxide, zirconium dioxide and titanium dioxide, organic substances such as polyethylene terephthalate and polytetrafluoroethylene, oxides such as silicon oxide, glass and barium titanate.
  • the solid dielectric may be in the form of a sheet or a film, but preferably has a thickness of 0.05 to 4 mm. This is because a high voltage is required to generate the discharge plasma, and if the solid dielectric is too thin, dielectric breakdown will occur when the voltage is applied, and arcing will occur.
  • a process gas is supplied to a space between the first electrode 21 and the second electrode 22 from a gas supply means (gas cylinder) 31 via a valve 27. Then, the atmosphere of this space is replaced, and in this state, when a high frequency voltage (for example, 10 to 500 MHz) is applied to the first electrode 21 by the high frequency power supply 29, the space Plasma is generated inside. Then, when a reactive gas flow containing chemically active excited species such as ions and radicals generated by the plasma is irradiated toward the surface of the object 13, the surface of the object 13 is irradiated. A predetermined surface treatment can be performed.
  • Gas supply means gas The gas for the process to be filled into the cylinder 31 is set appropriately according to the type of surface treatment performed in the processing chamber. Further, the exhaust gas is introduced into the exhaust system 31 via the valve 27. In addition, this exhaust gas passes through the philosophy to remove garbage mixed in! ⁇ It may be purified and reused. By reusing in this way, the gas use efficiency can be further improved.
  • FIG. 1 (C) shows a plasma generating means 12 in which the first electrode 21 is longer than the second electrode 22 and the first electrode 21 has an acute angle.
  • FIG. 1D shows a plasma generating means 12 having a shape for injecting an ionized gas flow generated between the first electrode 21 and the second electrode 22 to the outside.
  • the shape of the plasma generating means is not particularly limited, and may have any shape.
  • this apparatus is characterized by using the plasma generating means 12 in which a plurality of cylindrical electrodes are linearly arranged, so that the plasma processing can be performed by a single scan, which is particularly effective for large substrates. It is.
  • the plasma generating means 12 by running the plasma generating means 12, the processing only needs to be performed at necessary locations, and the supply of gas may be stopped at unnecessary locations, so that the use efficiency of the gas used is improved and the production cost is increased. Can be reduced.
  • the object 13 to be processed is The surface of the object 13 is subjected to plasma processing by scanning the object 13 or the plasma generating means 12 while keeping the distance to the means 12 constant. Therefore, the present invention using the plasma generating means 12 in which a plurality of cylindrical electrodes are arranged in a uniaxial direction can reduce the number of times of scanning the object 13 or the plasma generating means 12, so that the This is effective when a large substrate is used as the object 13.
  • a source gas such as NF 3 , CF 4 (carbon tetrafluoride), SF 6 , CO x is supplied from the gas supply unit 31, This is performed by supplying a mixed gas of one of hydrogen and oxygen and a rare gas to the plasma generating means 12 to generate plasma.
  • etching is performed by generating fluorine atoms using a raw material gas such as NF 3 or SF 6 and reacting with solid silicon to vaporize it as volatile Si F 4 gas and exhausting it to the outside. Perform processing.
  • the gas supply means 31 supplies oxygen source gas, one of hydrogen, CF 4 , NF 3 , H 20 , CHF 3 and plasma generating means. It is carried out by supplying it to 12 and generating plasma.
  • the thickness Thing processing photosensitive organic resist by introducing oxygen and carbon tetrafluoride, C0 2, C_ ⁇ , and in H 2 ⁇ performs Atsushingu treated by peeling.
  • a thin film may be formed by a plasma CVD method using the above apparatus, and a conductive film such as a metal may be formed as well as an insulating film. It is also possible after cleaning treatment of parts, in particular cleaning of the electrodes 21, 22, NF 3, CF 4 (four full Tsu carbon), SF 6, gas such C_ ⁇ x, when the organic material ⁇ ⁇ Cleaning may be performed by plasma using 2 .
  • the present invention is characterized in that a resist pattern is formed by a droplet jetting method. More specifically, a resist pattern that is in contact with the conductive layer is formed using one head that ejects a composition containing a photosensitive agent. At this time, the resist pattern is formed by scanning the head or the substrate. Therefore, a plasma processing method performed at or near the atmospheric pressure described above and a method for manufacturing a wiring of the present invention using the droplet ejection method will be described below.
  • substrates 101 are made of various materials such as glass, quartz, semiconductors, plastics, plastic films, metals, glass epoxy resins, and ceramics (Fig. 3 (A)).
  • any material can be used as long as it can withstand the processing temperature of the manufacturing process of the present invention.
  • conductive films 102 a to 102 c are selectively formed on the substrate 101.
  • a state in which a base film is already formed on the substrate 101 or a state in which a semiconductor element such as a transistor and an insulating film are already formed may be used on the substrate 101, but here, for convenience of explanation, the substrate 10 It is assumed that a conductive film 102 is formed on 1.
  • the conductive film 102 is selectively formed by a CVD method, an evaporation method, or a sputtering method.
  • the conductive film is not formed on the entire surface of the substrate 101, but is selectively formed only on a portion where a wiring is to be formed later.
  • the efficiency of the material used for the wiring is improved, so that the manufacturing cost can be reduced.
  • a source gas, a reaction temperature, and a reaction pressure are set.
  • the source gas is WF 6 and the reaction temperature is 200 to 500.
  • the reaction temperature is 250-270 ° C., and the gas is thermally activated during the introduction to form a film.
  • the reaction temperature is set to 100 to 300 ° C.
  • the film is formed by thermal decomposition. It is necessary to perform the treatment under reduced pressure depending on the type of the thin film to be formed. In this case, the pressure is set to a predetermined value.
  • typical heating sources include electron heating, an electron beam, a hollow source, and laser ablation.
  • a method other than laser ablation may cause a composition change. Therefore, in order to form an alloy film, it is preferable to use a method such as a flash evaporation method in which the alloy material is granulated and individual particles are instantaneously evaporated.
  • the supply port of the vapor deposition source is made small, and the vapor deposition source or the male plate is scanned.
  • the method of devising electrodes such as a bipolar sputter or a magnetron sputter or the method of devising the method of operating the sputter such as a high-frequency sputter. It does not matter.
  • a method for selective formation by sputtering for example, in the case of bipolar sputtering, a structure in which two electrodes are placed vertically and a square plate-like target is sandwiched between the two electrodes is adopted. There is a way to do that. At this time, the area can be selectively formed by setting the area of the evening get itself facing the object to be processed small.
  • a film may be selectively formed by using a metal mask in combination with the method of forming a conductive film over the entire surface. In this case, the efficiency of the wiring material is not improved. However, in the subsequent etching process, it is not necessary to etch all the thin films except for the portion covered with the resist pattern, and only the desired cylinder portion needs to be etched. As a result, waste of gas used during the etching process is reduced, and the gas use efficiency increases.
  • a photoresist photosensitive resin which reacts to ultraviolet rays is formed on the conductive film 102 by a droplet jetting method to form resists 104 to 106 (FIG. 3 (B )). More specifically, a composition containing a photosensitizer and a head 103 is sprayed to form resists 104 to 106 on the conductive film 102.
  • the head 103 can scan up, down, left and right in a state parallel to the surface of the substrate 101.
  • a plurality of (for example, three) heads 103 are used. Is also good.
  • a plurality of heads having different nozzle diameters may be prepared, and heads having different diameters may be used depending on the application.
  • scanning may be performed in parallel with the row direction and column direction of the substrate 101, or scanning may be performed obliquely with respect to the row direction and column direction of the substrate 101. It does not matter.
  • the same portion may be scanned a plurality of times to perform recoating.
  • the substrate 101 may be moved. Which one to move should be determined according to its accuracy and application.
  • the substrate 101 and the head 103 are brought as close as possible in order to drip at a desired location, and the distance is specifically 3 mm or less, preferably 1 mm or less, More preferably, it is 0.5 mm or less. Since the accurate ejection of the droplet also depends on the distance, a sensor or the like for measuring the distance may be used so that the distance can be accurately maintained.
  • the conductive film 102 is selectively formed by a CVD method, an evaporation method, or a sputtering method, it is schematically illustrated in FIG. This shows the case where 0 2 is formed.
  • the composition ejected from the head 103 may be a composition containing a photosensitive agent.
  • a typical positive resist, a nopolak resin, a naphthoquinone diazide compound as a photosensitive agent, and a negative resist A solution obtained by dissolving or dispersing a base resin, diphenylsilanediol, an acid generator, and the like in a solvent is used.
  • the solvent esters such as butyl acetate and ethyl acetate, alcohols such as isopropyl alcohol and ethyl alcohol, and organic solvents such as methyl ethyl ketone and acetone are used.
  • the concentration of the solvent is preferably set according to the type of the resist.
  • the composition sprayed from the head 103 may be a resin material such as an epoxy resin, an acrylic resin, a phenol resin, a nopolak resin, an acrylic resin, a melamine resin, and a polyurethane resin. Good. The viscosity of these resin materials is adjusted by dissolving or dispersing them using a solvent.
  • the amount of the composition to be sprayed from the head 103 at a time is 10 to 7 O p 1 (more broadly, 0.001 to 100 p 1), the viscosity is 100 cp or less, and the particle size is 0. 1 or less (more broadly 1 m or less), and the nozzle diameter is preferably 5 to 100, preferably (more broadly 0.01 to 100). This is because drying is prevented, and if the viscosity is too high, the composition cannot be sprayed smoothly from the spray port. Adjust the viscosity, surface tension, drying rate, etc. of the composition according to the solvent used and the application. It is preferable that the composition sprayed from the head 103 is continuously dropped on the substrate to form a linear or striped shape. Good.
  • the formation of the resist pattern by the above-described droplet jetting method is performed under atmospheric pressure and reduced pressure (near atmospheric pressure, including vacuum).
  • the reduced pressure refers to a pressure lower than the atmospheric pressure.
  • an atmosphere filled with nitrogen, a rare gas, or another inert gas for example, 1 ⁇ 10 2 to 2 ⁇ 10 4 Pa (preferably, 5 ⁇ 10 2 to 5 X 10 3 Pa), and in a higher vacuum (under reduced pressure), l to 5 X 10 4 Pa (l X l 0 2 to l X 10 3 Pa) Good.
  • a pre-baking process of baking at about 10 ° C. is performed for the purpose of curing the resist.
  • a lamp annealing device that directly heats the substrate at high speed or a laser-irradiation device that irradiates a laser beam is used by using a lamp such as a hammer as a heating source.
  • a heating process can be performed only at a desired location by scanning the heating source.
  • the shape of the beam spot on the substrate of the laser light emitted from the laser oscillating device has the length of a column or a row, that is, the length of one side of the pattern. It is preferable to form the wire so as to have the same length as the wire.
  • laser irradiation can be completed by one scan.
  • a furnace annealing furnace set at a predetermined temperature may be used.
  • the exposure process is a process in which a mask (photomask) 107 in which a target pattern is written in advance is overlaid on the resists 104 to 106, and ultraviolet light is irradiated from above.
  • a mask (photomask) 107 in which a target pattern is written in advance is overlaid on the resists 104 to 106, and ultraviolet light is irradiated from above.
  • the entire surface of the substrate is divided into several areas, and light of the photosensitive wavelength range of the photosensitive agent is irradiated using a light source such as an ultraviolet lamp.
  • the resist exposed to ultraviolet light by exposure is developed by immersing it in a developer and removed, and the pattern baked by exposure is converted to the actual resist pattern 108 to 110.Sake 4 (A) ).
  • a boss baking treatment for firing at about 120 ° C. is performed again.
  • the portions of the film which are not covered with the resist patterns 108 to L10 are removed by etching using plasma generating means 118 (FIG. 4B).
  • the present invention is characterized in that dry etching using plasma is performed at or near atmospheric pressure.
  • Etching gas may be appropriately selected depending on the workpiece is carried out using CF 4, NF 3, fluorine-based, such as SF 6, chlorine-based etching gas, such as C 1 2, BC 1 3.
  • the conductive layer is etched into a tapered shape by using a gas mixed with oxygen and utilizing the fact that the resist, which is an organic substance, is also etched. Resist patterns 1 15 to 1 17 were formed.
  • the resist patterns 1 15 to L 17 are removed by performing an asshing process using the plasma generating means 118 (FIG. 4C).
  • the present invention is characterized by using a plasma asher which reacts a plasma gas with a resist under or near atmospheric pressure to vaporize and remove the resist.
  • a plasma asher which reacts a plasma gas with a resist under or near atmospheric pressure to vaporize and remove the resist.
  • Atsu Shah generally have been used oxygen gas
  • the resist is carbon, oxygen, since it is a solid material made from hydrogen, oxygen plasma and the chemical reactions CO 2, H 2 0, ⁇ 2 It uses the phenomenon of gas.
  • impurities such as heavy metals contained in the actual resist are not removed, so that the resist may be washed in an outlet station.
  • the etching process and the assing process are performed by scanning a plurality of plasma generating means arranged linearly.
  • This process requires vacuum equipment. Since it is not required, it is possible to improve productivity and reduce manufacturing costs.
  • using a plurality of plasma generating means arranged linearly is advantageous in terms of tact time.
  • a plurality of plasma generating means are linearly arranged so as to have the same length as one side of the substrate. With this arrangement, the process can be completed with one scan. Note that the scanning direction is not limited to the direction parallel to one side of the substrate, and scanning may be performed in an oblique direction.
  • the present invention which does not need to constantly supply a reaction gas leads to gas saving and reduction in production cost.
  • a pattern of the conductive layers 112 to I14 can be formed on the substrate 101.
  • the pattern of the conductive layers 112 to 114 is preferably 5 to 50 m for gate wiring (capacitance wiring) and 5 to 25 m for source wiring.
  • gate wiring gate wiring
  • source wiring source wiring
  • an example in which a pattern made of a conductive material is formed on the substrate 101 is described.
  • the present invention is not limited to this, and a wiring forming step of a semiconductor integrated circuit, a liquid crystal panel EL, It can be applied to various fields such as the wiring formation process of the TFT substrate that constitutes the panel.
  • the present invention is not limited to the exemplification of the present embodiment, but is applicable to the case of forming an insulating film such as silicon oxide acryl resin or a pattern of a semiconductor such as polycrystalline silicon or amorphous silicon. Can be. (Embodiment 2)
  • a semiconductor layer (or a conductive layer or a wiring layer) 125 is formed on a substrate 101 by a known method, and an insulating film 126 is formed on the semiconductor layer 125. Then, on the insulating film 126, resist patterns 127 and 128 are formed at positions other than those where the openings are to be formed. In this state, an etching process is performed by the plasma supply means 12. Then, as shown in FIG. 6B, a contact hole 129 reaching the semiconductor layer 125 can be formed. The contact hole is about 2.5 to 30 xm, depending on the diameter of the plasma supply means 12 and the resolution of the display panel used.
  • the etching process is performed by scanning a plurality of plasma generating units arranged linearly at or near atmospheric pressure, and one or more selected from the plurality of plasma supply units are scanned.
  • a plurality of plasma generating means arranged linearly is advantageous in terms of tact time.
  • a plurality of plasma generating means are linearly arranged so as to have the same length as one side of the substrate.
  • the present invention which does not require the supply of the reaction gas to all the plasma supply means can improve the efficiency of the gas and reduce the production cost.
  • 6 (C) to 6 (E) show another example of the present invention.
  • the plasma treatment is selectively performed to adjust the shape of the interlayer rising film, thereby forming an interlayer insulating film having a contact hole. It forms a film.
  • the present invention is characterized in that the interlayer insulating film is formed by an ink jet method.
  • a semiconductor layer or a wiring layer (conductive layer) 125 formed on a substrate 101 is formed.
  • the wiring layer 125 made of metal will be described as an example.
  • a solution containing a polymer material (typically, polyimide, acrylic, benzocyclobutene, etc.) is sprayed and applied to a predetermined position on the substrate 101 by an ink jet method, and the solvent is removed by baking to remove the layer 13. 0a is formed (Fig. 6 (C)).
  • a part of the wiring layer 125 is exposed.
  • the exposed portion is a portion to be a contact hole later. Since a certain amount is required to function as an interlayer insulating film, a desired J value may be obtained by repeating the spray coating and the preliminary baking (or baking).
  • a photosensitive or non-photosensitive organic material (polyimide, acrylic, polyamide, polyimide amide, resist or benzocyclobutene), or a laminate of these materials is used. be able to.
  • a photosensitive or non-photosensitive organic material polyimide, acrylic, polyamide, polyimide amide, resist or benzocyclobutene
  • a laminate of these materials is used. be able to.
  • the insulating layer 130a any of a negative type which becomes insoluble in an etchant by photosensitive light and a positive type which becomes soluble in an etchant by light can be used.
  • the coating is not performed on the entire surface of the substrate unlike the spin coating method, the material can be largely saved.
  • an end portion of the insulating layer 130a is selectively etched by plasma treatment using a plasma supply means (nozzle) 12 so as to form the insulating layer 130a.
  • a contact hole in a This etching adjusts the shape of the insulating layer 130a. It is also a process that can be done.
  • a contact hole is formed by previously enlarging a hole opened in the layer 130a, and a rising layer 130b is formed. Since there are fewer portions to be etched as compared with the etching of the conventional photolithography technology, a contact hole can be formed in a short time. In the present invention, since etching is not performed using a resist mask, a resist forming process can be omitted.
  • the dust when dust such as impurities is present in the exposed portion of the wiring layer 125 at the same time, the dust can be removed. Further, when a natural oxide film is formed on the exposed portion of the wiring layer 125, the natural oxide film can also be removed.
  • a wiring 13 1 is formed as shown in FIG. Note that the insulating layer 130b functions as an interlayer film. If wiring is formed by an inkjet method, a maskless process can be performed, and a process suitable for mass production can be performed. This embodiment can be freely combined with the above embodiment.
  • the plasma supply means has a nozzle 92 formed of glass or quartz glass.
  • a first electrode (high-frequency electrode) 88 connected to a high-frequency power supply 89 and a grounded second electrode (ground electrode) 87 are disposed under the nozzle 92 so as to face each other. The high frequency voltage is applied between the first electrode 88 and the second electrode 87.
  • a gas supply means (gas cylinder) 85 is connected to the nozzle 92 via a notch 86.
  • a predetermined gas is supplied to the gas supply means 85 via pulp 86.
  • a stage 91 made of a stainless steel plate or the like is arranged below the nozzle 92, and an object 90 to be irradiated with a plasma gas flow is placed on an upper surface of the stage 91. Is done.
  • an appropriate amount of oxygen gas or carbon tetrafluoride gas or a mixture of oxygen gas and carbon tetrafluoride gas is added to a rare gas, and this is used as a discharge gas, and is supplied to the nozzle 92 under atmospheric pressure. While supplying, a high-frequency voltage is applied to the first electrode 88. Then, plasma is generated between the two electrodes. When a reactive gas flow containing chemically active excited species such as ions and radicals generated by the plasma is applied to the surface of the object 90, the surface of the object 90 is irradiated with the reactive gas. A predetermined surface treatment can be performed.
  • FIG. 17A a perspective view of the plasma processing apparatus shown in FIG. 17A is shown in FIG.
  • the nozzles 92 are arranged to face each other in parallel, and form a gas flow path in the gap. Then, along the longitudinal direction of the nozzle 92, a first electrode 88 (not shown) connected to the high-frequency power source 89, and a second electrode 87 facing the first electrode 88. Is self-established. At the lower end of the nozzle 92, fin plates 94, 95 orthogonal to the nozzle 92 are provided. In addition, a plurality of supply holes are provided along the gas flow path at the upper part of the nozzle 92, and gas control means (not shown) for uniformly supplying the discharge gas to the gas flow path is provided. I have. Further, the side of the gas flow path is closed by a side plate (not shown), so that the reaction gas flow generated in the gas flow path can be injected only from below the gas flow path.
  • the plasma processing apparatus having the above configuration and used in the present invention can generate a linear discharge, and generates a reaction gas flow by the plasma generated by the discharge. By irradiating the object 90, a predetermined asshing process or etching process can be performed.
  • FIG. 18 (A) is a top view of the plasma processing apparatus according to the present invention
  • FIG. 18 (B) is a cross-sectional view.
  • an object to be processed 12a such as a glass substrate, a resin substrate, or a semiconductor substrate to be subjected to surface treatment is set in the cassette chamber 2la.
  • a substrate having a desired size is used as the processing target 12a. It is preferable that the substrate set in the cassette chamber 21a is preliminarily subjected to pretreatment such as cleaning.
  • Reference numeral 22a denotes a transfer chamber, and the transfer mechanism 20a (for example, a robot arm) transfers the workpiece 12a disposed in the cassette chamber 21a to the plasma processing chamber 23a.
  • horizontal transport can be cited. Vertical transfer may be performed with the substrate placed vertically.
  • an airflow control means 18a that creates an air flow so as to shut off outside air for dust prevention and also transfers the workpiece 12a
  • a heating means 19 and a plasma generating means 25 are provided.
  • the heating unit 19 a known heating unit such as an octogen lamp may be used, and heating is performed from the lower surface of the processing target 12a.
  • Reference numeral 18a denotes an airflow control unit, and 26 denotes a gas outlet, which controls the airflow using a carrier gas such as an inert gas supplied from the gas supply unit 29. Since the plasma processing apparatus used in the present invention is operated at or near the atmospheric pressure, only the airflow control means 18a controls the airflow near the plasma generation means 25 to prevent contamination from the outside. The backflow of the reaction product can be prevented. In other words, separation from the outside world can be performed only with this airflow control means 18a. It is not necessary to completely seal the processing chamber 23a. In addition, the present invention does not require time for evacuation or opening to the atmosphere, which is necessary for the decompression device, and does not need to arrange a complicated vacuum system.
  • a carrier gas such as an inert gas supplied from the gas supply unit 29.
  • the gas supplied from the gas supply means 29 is heated to a desired temperature (for example, 50 degrees to 800 degrees) by the heating means 28, and the heated gas is supplied to the object 12a.
  • the object to be treated 12a is heated by spraying it.
  • the heating means 28 is not particularly limited as long as it can heat gas, and a known means may be used.
  • the heated gas is blown onto the upper surface of the object 12a to be heated, and further, the lower surface of the object 12a is heated by the heating means 19. In this way, by heating both surfaces of the object 12a, the object 12a is uniformly heated.
  • an inert gas may be used as the carrier gas supplied from the gas supply unit 29.
  • the plasma generating means 25 includes a first electrode 13a and a second electrode 14a, and is connected to a high-frequency power supply 17a, an exhaust system, gas supply means, and the like (FIG. 18).
  • a high-frequency power supply 17a an exhaust system, gas supply means, and the like (FIG. 18).
  • the workpiece 12a having been subjected to the predetermined surface treatment is transferred to the transfer chamber 24, and transferred from the transfer chamber 24 to another processing chamber.
  • first electrode 13a and the second electrode 1a may be covered with a solid dielectric.
  • the solid dielectric include metal oxides such as aluminum oxide, zirconium dioxide and titanium dioxide, organic substances such as polyethylene terephthalate and polytetrafluoroethylene, oxides such as silicon oxide, glass and barium titanate.
  • the thickness of the solid dielectric is preferably from 0.05 to 4 mm. This is because a high voltage is required to generate a discharge plus or minus, and if the solid dielectric is too thin, dielectric breakdown will occur when voltage is applied, and arcing will occur.
  • FIG. 19 shows the gas path.
  • 13a and 14a are electrodes made of conductive metal such as aluminum, copper, and stainless steel, and the first electrode 13a is connected to a power supply (high-frequency power supply) 17a.
  • a cooling system (not shown) for circulating cooling water may be connected to the first electrode 13a.
  • the second electrode 14a has a shape surrounding the first electrode 13a, and is electrically grounded.
  • the first electrode 13a and the second electrode 14a have a cylindrical shape having a nozzle-like gas supply port at the tip.
  • the gas heated by the heating means 28 is supplied to the space between the first electrode 13a and the second electrode 14a. Then, the atmosphere in this space is replaced, and in this state, a high-frequency voltage (for example, 10 to 5 ⁇ 0 MHz) is applied to the first electrode 13a by the high-frequency power supply 17a, and plasma is generated in the space. 1 1 occurs.
  • a high-frequency voltage for example, 10 to 5 ⁇ 0 MHz
  • the object 12a Performs surface treatments such as thin film formation and cleaning on the surface.
  • 27 is a valve
  • 28 is a heating means
  • 29, 30a and 31a are gas supply means
  • 32 is exhaust gas
  • 33 is a filter.
  • the heating means 28 heats the gas supplied from the gas supply means 9, 30a, 31a until a desired temperature (for example, 50 to 800 degrees) is reached.
  • Reference numeral 29 denotes a gas supply means for a carrier gas
  • 30a denotes a gas supply means for a purified gas
  • 31a denotes a gas supply means for a process gas.
  • As the carrier gas use a gas that does not affect the surface treatment performed in the processing chamber, such as an inert gas.
  • the process gas is set appropriately according to the type of surface treatment performed in the processing chamber.
  • Air gas 3 2 Is introduced into the filter 28 via the knob 27.
  • the filter 28 removes dust mixed in the gas.
  • the gas purified by the filter 33 is again introduced into the gas supply means 30a for the purified gas, and is used again as a process gas.
  • the processing target 12 a floats horizontally by the gas blown in the oblique direction and the vertical direction from the airflow control means 18 a and the gas from the space between the two electrodes, and the non-contact It is transported in the traveling direction in the state. In the vicinity of the electrode, gas is blown upward, and the object 12a floats by this gas.
  • gas blowing and gas suction are performed simultaneously to control the height at which the object 12a floats. Further, using the valve 27, the horizontal accuracy of the processing target 12a is adjusted by the flow rate of the gas, and the processing target 12a is connected to the first and second electrodes 13a and 14a. Adjust the distance precisely. This configuration prevents distortion, warping, and in the worst case, cracking of a large and thin workpiece 12a that is difficult to transport.
  • the air flow control means 18 and the mechanical mouth pot (transport mechanism) 51 are used to 12a may be transported. Then, the processing target 12a can be transported horizontally in the traveling direction. Also, as shown in FIG. 20 (C), a rail 53 is installed in the traveling direction of the workpiece 1 2a, and a bogie 52 traveling on the rail 53 is provided instead of the robot arm 51 as shown in FIG. 20 (C). Alternatively, the object to be processed 12a may be transported horizontally. (Example)
  • FIG. 7 shows a droplet ejecting apparatus using the droplet ejecting method.
  • the period of ejecting the head (ink head) 201 composition and the moving speed of the substrate 215 are determined. Adjust.
  • a nozzle 202 for ejecting gas may be provided adjacent to the head 201 as a means for smoothing the composition.
  • the composition ejected onto the substrate 215 is smoothed by the gas ejected from the nozzle 202. In other words, while maintaining the distance between the head 201 and the substrate 215, by moving the head 201 or the substrate 215, a linear pattern is formed.
  • the gas can be ejected from the nozzle 202 to smooth the pattern.
  • a moving mechanism 20 for moving the head 201 up and down and its control means 203 are provided, and the head 201 is brought close to the substrate 215 only during pattern formation.
  • the apparatus includes a substrate stage 205 that fixes the substrate 215 and moves in the direction to fix the substrate 215, a unit 200 that supplies the composition to the head 201, and a nozzle 2. It comprises means for supplying gas to 02 and the like.
  • the housing 210 covers the head 201, the substrate stage 205, and the like. Further, when using the above-mentioned apparatus, the same gas as the solvent of the composition is supplied by the gas supply means 208 and the shower head 209 provided in the housing 210 to replace the atmosphere. Drying can prevent drying to some extent, and printing can be continued for a long time.
  • FIGS. 5 (D) and 5 (E) are cross-sectional views of the head 103, and FIG. Two methods of injecting the composition from 103 will be described.
  • 121 is the composition
  • 122 is the head.
  • FIG. 5 (D) shows that the injection of the composition 122 from the head 103 does not stop, that is, the composition 122 is continuously injected.
  • FIG. 5 (E) shows a case in which a method of forming a pattern by dropping the composition 121 from the head 103 is performed by ilffl. In the present invention, either method may be used.
  • a deposition chamber 225 that mainly forms the conductive layer
  • a droplet ejection processing chamber 227 incorporating the device shown in Fig. 7, a laser irradiation chamber 228, an exposure processing chamber 225, and cleaning.
  • the flow sequentially passing through the chamber 238 and the plasma processing chamber 237 is shown.
  • Each processing room will be provided with an exhaust pump as required.
  • an oil rotary pump, a mechanical booster pump, a turbo molecular pump or a cryopump can be used, but a cryopump effective for removing water is preferable.
  • a sputtering apparatus FIG. 9
  • a vapor deposition apparatus FIG. 10
  • the droplet jet processing chamber 227 is characterized by forming a resist pattern.
  • the droplet ejection processing chamber 227 has the configuration shown in FIG. 7 described above, and is provided with one or a plurality of heads shown in FIGS. 5B and 5C. Then, a resist pattern is formed by scanning the head or the substrate.
  • the laser irradiation chamber 228 is used for applications such as heat treatment. Place the substrate on the substrate , A laser oscillator 230, an optical system 229, a central processing unit, and a computer which also has storage means such as a memory.
  • the exposure processing chamber 225 is used when performing exposure processing after forming a resist pattern in the droplet jet processing chamber 227.
  • the exposure processing chamber 225 is provided with a processing unit 239 for irradiating the resist pattern with light in the photosensitive wavelength range of the photosensitive agent.
  • the light in the photosensitive wavelength range of the photosensitizer depends on the photosensitizer, but generally requires light of a wavelength of 350 to 450 nm.
  • an ultra-high pressure mercury lamp generally used as a light source of a 1 ⁇ projection exposure apparatus for multi-wavelength light or a 1 ⁇ projection exposure apparatus for single wavelength light is mentioned.
  • Optical filters include absorption filters and thin-film interference filters. These absorption filters and thin-film interference filters are appropriately laminated to form g-line (433 nm), h-line (405 nm), and i-line. (365 nm).
  • the processing time of light irradiation is not strict as in the case of the exposure time in an exposure apparatus, an apparatus configuration in which light irradiation processing is performed for a predetermined time is required because it affects the softened shape of the resist pattern.
  • a device configuration may include a shutter mechanism or a mechanism for supplying power to the ultra-high pressure mercury lamp only for a predetermined time.
  • the cleaning chamber 238 is a spin-coating type processing chamber, in which IPA or pure water is supplied to perform a rinsing process after peeling. It should be noted that the present invention relates to the first and third embodiments in which the above-mentioned plasma processing apparatus removes the resist by asking at atmospheric pressure or near atmospheric pressure. Depending on the process, the resist may be removed by supplying a resist stripping solution in a spin-coating processing chamber such as the cleaning chamber 238 depending on the process. In the plasma processing chamber 237, etching and asshing are performed at or near atmospheric pressure.
  • the present invention uses an apparatus that operates at or near atmospheric pressure, the processing chamber for droplet ejection 227, the plasma processing chamber 237, the processing chamber for forming a thin film, and the inkjet for droplets are used. It is possible to provide a manufacturing apparatus provided with moving means for moving the head at once. With the manufacturing apparatus having such a configuration, in-line processing can be more easily performed, and space and efficiency of the manufacturing line can be reduced.
  • FIG. 9 shows an example of a magnetron type sputtering apparatus.
  • the apparatus includes a film forming chamber 311 provided with a transfer port (removal port) 322 for removing a workpiece (substrate).
  • a target 317 is provided in the film forming chamber 311, and is cooled (water-cooled) by a coolant 319 via a packing plate.
  • the permanent magnet 318 makes it possible to form a uniform film on the opposing substrate surface by making a circular motion or a linear motion in a direction parallel to the target surface.
  • the shutter 323 opens and closes before and after the start of film formation to prevent the formation of a film in an unstable plasma state at the beginning of discharge.
  • the substrate 3 13 and the mask 3 14 are set on the substrate holding means 3 12 by moving the substrate holder 1 3 7 and the mask holder 3 2 8. At this time, the alignment between the substrate 3 13 and the mask 3 14 may be performed using a CCD camera 3 16 provided in the apparatus. Ma Further, the substrate holding means 3 12 is provided with a magnet 1 living body (magnet) 3 15, and the substrate 3 13 and the mask 3 14 are fixed by the magnetic material 3 15. At this time, a spacer may be provided to keep a certain gap (height) so that the substrate 313 and the mask 314 do not contact each other.
  • the means for holding the target 3 17 includes means 3 26 for raising and lowering the evening get 3 17, and controlling the distance between the substrate 3 13 and the evening get 3 17 during film formation. Can be. Of course, means for moving the substrate 3 13 up and down may be provided in the substrate holding means 3 12 to control the distance between the substrate 3 13 and the evening get 3 17 during film formation.
  • a sheath heater may be embedded in the substrate holding means 312 as a heating means, and a heated rare gas (argon gas) may be introduced from the back side of the substrate 313 to increase the uniformity.
  • argon gas argon gas
  • noble gas or oxygen gas is introduced into the awakening 3 1 1 1 by gas introduction means 3 2 1 force, and a rectifying plate 3 2 4 controlled by a conductance valve 3 2 5 is provided in a film forming chamber 3 1 It is provided for the purpose of rectifying the flow of the sputtering gas within 1.
  • the high frequency power supply 320 is connected to the evening get 3 17.
  • FIG. 9B shows an example of a mask 330 used for forming a conductive film by a sputtering method.
  • the mask 314 has a mask pattern 331 in a slit shape.
  • the mask pattern 331 is used to form a narrow pattern such as 5 to 20 for forming a signal line arranged in a pixel portion, or 150 to 10 for forming a lead wiring.
  • a wide pattern such as 0 0 may be provided and set appropriately according to the application.
  • auxiliary wiring may be provided on the mask 314 in parallel with the slit for the purpose of reinforcement.
  • the width, length, and location of the auxiliary wiring may be set to 3 ⁇ 41 so as not to hinder the film formation.
  • Such masks 3 1 4 are made of nickel, platinum,
  • a mask formed of a metal material, such as copper, stainless steel or quartz glass, is called a metal mask.
  • the mask 314 is preferably formed to have a thickness of about 5 to 25 ⁇ m.
  • the present invention is characterized in that a mask 314 is arranged so as to overlap the substrate 313, and a thin film is selectively formed on the substrate 313. More specifically, a high-frequency power is applied in an atmosphere containing a rare gas, and a thin film having a desired shape is formed by a sputtering method.
  • a high-frequency power is applied in an atmosphere containing a rare gas, and a thin film having a desired shape is formed by a sputtering method.
  • the mask 314 is arranged in this way to form a thin film of a desired shape, the utilization efficiency of the material is not improved, but in a later etching process, the area other than the area covered with the resist pattern is removed. There is no need to etch the thin film, and only the desired portion needs to be etched. Therefore, waste of gas used in the etching process is reduced, and gas use efficiency increases.
  • FIG. 10 shows an example of a vapor deposition apparatus.
  • 350 is a sample port and 351 is a material.
  • the material contained in the sample boat 350 is vaporized and released by resistance heating by electrodes (not shown). At this time, the released material adheres to the substrate 340 after passing through the gap of the mask 343 made of a conductive material.
  • the mask 343 is made of a conductive material such as copper, iron, aluminum, tantalum, titanium, and tungsten.
  • resistance heating was used as an example of the evaporation source. It may be heat.
  • the material may be negatively charged or positively charged during vapor deposition.
  • FIG. 10B is a diagram showing an example of a current-heating type vapor deposition apparatus different from FIG. 10A.
  • 370 is a filament
  • 371 is a crucible made of a material (for example, quartz or the like) that can withstand the temperature generated by the filament 370, and is made of, for example, stainless steel.
  • the filament 370 is heated by energizing to evaporate the material into atoms or molecules and adhere the atomized or molecular material to the substrate 372.
  • a thin film is formed.
  • FIG. 10 (B) shows a conical cage-shaped filament, the shape may be changed as appropriate according to the purpose. For example, a U-shaped filament may be used.
  • an active matrix substrate is manufactured using the substrate 600 having a light-transmitting property.
  • the board size of the board 600 is 60 OmmX 72 Omm, 68 OmmX 880 mm, 100 OmmX 120 Omm, 110 OmmX 125 Omm, 115 OmmX 1 30 Omm, 150 OmmX 180 Omm, 180 OmmX 200 Omm, 2000
  • a large area substrate such as mmX 2100mm, 220 OmmX 2600mm, or 260 OmmX 3100mm to reduce manufacturing costs.
  • a glass substrate such as barium borosilicate glass or alumino borosilicate glass typified by # 7059 glass, # 1737 glass, and the like of KINGING CO., LTD. Can be used.
  • a translucent substrate such as a quartz substrate or a plastic substrate can be used as another substrate.
  • the active matrix substrate corresponds to a substrate on which a device such as a thin film transistor is formed.
  • the pixel pitch is formed by a design rule in which both the vertical length and the horizontal length are 50 to 750 m.
  • a conductive layer is entirely or selectively formed on a substrate 600 having a surface, a resist mask is formed by a droplet jetting method, and unnecessary portions are removed by etching. Form wiring and electrodes (gate electrodes, storage capacitor wiring, terminals, etc.). Note that a base insulating film is formed over the substrate 600 if necessary.
  • the above-described plasma processing apparatus that operates at or near atmospheric pressure may be used.
  • the cost can be reduced by using the plasma processing apparatus which does not require a complicated vacuum system.
  • the wiring and the electrode are formed of an element selected from Ti, Ta, W, Mo, Cr, and Nd, an alloy containing the above element, or a nitride containing the above element.
  • an element selected from Ti, Ta, W, Mo, Cr, and Nd, an alloy containing the above element, or a nitride containing the above element as a component is selected and laminated. You can also.
  • the above-mentioned wiring and electrode materials include Cu, Al, Ag, Au, Fe, Ni, Pt or alloys thereof. It can also be used.
  • a gate insulating film is formed on the entire surface by the P C VD method.
  • the gate insulating film is formed using a stacked layer of a silicon nitride film and a silicon oxide film, and has a thickness of 50 to 20 O nm, preferably 150 nm.
  • the gate insulating film is not limited to a stack, and a gate insulating film such as a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a tantalum oxide film can be used.
  • a first amorphous semiconductor film having a thickness of 50 to 200 nm, preferably 100 to 150 nm is formed on the gate film by a plasma CVD method or a sputtering method.
  • a film is formed on the entire surface by a known method such as the above.
  • an amorphous silicon (a-Si) film is formed with a thickness of 10 O nm.
  • the amorphous silicon film be formed using a plasma CVD apparatus which operates at or near atmospheric pressure and has a linear plasma supply means. This makes it possible to form an amorphous silicon film in a few scans, and furthermore, it is only necessary to form a film at a desired place, which leads to a reduction in deposition gas and a reduction in manufacturing cost. Is possible.
  • a second amorphous semiconductor film containing an impurity element of one conductivity type (N-type or P-type) is formed to a thickness of 20 to 80 nm.
  • Second containing impurity element imparting one conductivity type The amorphous semiconductor film is formed over the entire surface by a known method such as a plasma CVD method or a sputtering method.
  • a second amorphous semiconductor film containing an N-type impurity element is formed using a silicon target to which phosphorus is added.
  • a resist mask is formed by a droplet jetting method, unnecessary portions are removed by etching, and an island-shaped first amorphous semiconductor film and an island-shaped second amorphous semiconductor film are formed. I do.
  • wet etching or dry etching is used as an etching method.
  • a resist mask is formed by a droplet jetting method, and unnecessary portions are removed by X-etching, and the wiring is formed.
  • Form lines and electrodes (source wiring, drain electrode, capacitance electrode, etc.).
  • the materials of the above wirings and electrodes include Al, Ti, Ta, W, Mo, Cr, Nd, Cu, Ag, Au, Cr, Fe, Ni, P It is formed of an element selected from t or an alloy containing the element.
  • a resist mask is formed by a droplet jetting method, and unnecessary portions are removed by etching to form a source wiring, a drain electrode, and a capacitor electrode. Etching or dry etching is used as an etching method at this time.
  • a storage capacitor having an insulating film made of the same material as the gate insulating film as a dielectric is formed. Then, using the source wiring and the drain electrode as a mask, a part of the second amorphous semiconductor film is removed in a self-aligned manner, and a part of the first amorphous semiconductor film is further thinned. The thinned region becomes a TFT channel forming region.
  • a protective film made of a silicon nitride film having a thickness of 150 nm and a first interlayer insulating film made of a silicon oxynitride film having a thickness of 150 nm are formed on the entire surface by a plasma CVD method.
  • a plasma CVD apparatus which operates at or near atmospheric pressure and has a linear plasma supply means.
  • hydrogen etching is performed to produce a channel-etch type TFT.
  • the TFT structure is a channel etch type
  • the TFT structure is not particularly limited, and a channel stopper type TFT, a top gate type TFT, or a forward type TFT may be used. .
  • a resist mask is formed by a droplet jetting method, and then a contact hole reaching the drain electrode and the capacitor electrode is formed by a dry etching process.
  • a contact hole (not shown) for electrically connecting the gate wire and the terminal portion is formed in the terminal portion, and a metal wire (not shown) for electrically connecting the gate wire and the portion is formed. It may be formed.
  • a contact hole (not shown) reaching the source wiring may be formed, and a metal wiring connected to the source wiring may be formed.
  • a pixel electrode such as ITO (indium tin oxide) may be formed, or after forming a pixel electrode such as ITO, these metal wirings may be formed. Good.
  • a pixel electrode 61 is formed by performing a step of forming a resist pattern by a droplet jetting method and an etching step.
  • an active matrix substrate composed of a pixel portion including a reverse-square TFT and a storage capacitor and a terminal portion.
  • an alignment film 623 is formed on the active matrix substrate, and a rubbing process is performed.
  • a columnar spacer 602 for maintaining a substrate interval is formed at a desired position by patterning an organic shelf film such as an acrylic resin film. Formed.
  • spherical spacers may be sprayed on the entire surface of the substrate.
  • the alignment film 623 may be formed by a droplet spray method.
  • a counter substrate 65 is prepared.
  • the opposite substrate 650 is provided with a color filter 620 in which a coloring layer and a light shielding layer are arranged corresponding to each pixel. Further, a flattening film 651 covering the color filter 62 is provided. Next, an opposing electrode 621 made of a transparent conductive film is formed on the planarizing film 651 at a position overlapping with the pixel portion, and an alignment film 622 is formed on the entire surface of the opposing substrate 65, and rubbing is performed. Perform processing.
  • the liquid crystal is jetted under reduced pressure to a region surrounded by the sealing material 607 by a droplet jetting method.
  • the active matrix substrate and the counter substrate 65 are bonded together with a sealing material 607 under reduced pressure without touching the atmosphere.
  • a filler (not shown) is mixed in the sealing material 607, and the two substrates are bonded at a uniform interval by the filler and the columnar spacer 602.
  • an active matrix liquid crystal display device is completed. Then, if necessary, the active matrix substrate or the opposing substrate is cut into a desired shape. Further, an optical film such as a polarizing plate 603 is hardly provided by using a known technique. Then, an FPC is attached using a known technique.
  • the knock light 604 and the light guide plate 605 are provided on the liquid crystal module obtained by the above steps and covered with the cover 606, the active matrix type liquid crystal whose partial cross-sectional view is shown in FIG.
  • the display device (3 ⁇ 4 type) is completed. The cover and the liquid crystal module are fixed using an adhesive or an organic resin.
  • the polarizing plate 603 is attached to both the active matrix substrate and the counter substrate.
  • a transmission type is shown, but there is no particular limitation, and a reflection type or semi-transmission type liquid crystal display device can also be manufactured.
  • a metal film having high light reflectance typically a material film containing aluminum or silver as a main component, a stacked film thereof, or the like may be used as the pixel electrode.
  • FIG. 11 (B) a top view of the liquid crystal module is shown in FIG. 11 (B), and a top view of the liquid crystal module different from FIG. 11 (B) is shown in FIG. 11 (C).
  • 501 is an active matrix substrate
  • 506 is a counter substrate
  • 504 is a display unit
  • 505 is an FPC
  • 507 is a sealing material.
  • a liquid crystal is ejected by a droplet ejection method, and a pair of substrates 501 and 506 are bonded with a sealing material 507.
  • the TFT obtained by this embodiment has a small field-effect mobility; however, when mass-produced using a large-area substrate, the cost for the manufacturing process can be reduced.
  • the liquid crystal When a liquid crystal is ejected by the droplet ejection method and a pair of substrates is bonded, the liquid crystal can be held between the pair of substrates regardless of the substrate size.
  • a display device provided with a liquid crystal panel having the above can be manufactured.
  • an active layer is formed of a semiconductor film having a crystal structure by crystallizing an amorphous semiconductor film by performing a known crystallization process, typically a polysilicon film
  • a TFT having a high field-effect mobility is used. Therefore, not only a pixel portion but also a driving circuit having a CMOS circuit can be manufactured on the same substrate. In addition to the driver circuit, CPU and the like can be manufactured on the same substrate.
  • a TFT having an active layer made of a polysilicon film is used, a liquid crystal module as shown in FIG. 11C can be manufactured. In FIG.
  • 501 is an active matrix substrate
  • 505 is an FPC
  • 506 is a counter substrate
  • 510 is a source driver
  • 508 and 509 are gate drivers
  • 504 is a pixel portion
  • 511 is a first seal material
  • 512 is a second seal material.
  • a liquid crystal is ejected by a droplet ejection method, and a pair of substrates 501 and 506 are attached to each other with a first sealing material 512 and a second sealing material 506. I have. Since liquid crystal is not required for the drivers 508 to 510, only the display section 504 holds liquid crystal, and the second sealing material 511 is provided to reinforce the entire panel. I have.
  • a light-emitting element has a structure in which an electroluminescent layer (actually, various types of layers such as an electron transport layer exist, but is collectively referred to as an electroluminescent layer here) between a pair of electrodes.
  • a method for manufacturing the electroluminescent layer by a droplet jetting method has already been put to practical use. That is, if the composition injected from the head is changed or the head filled with the composition is replaced, continuous processing becomes possible.
  • the light-emitting element is a self-luminous type flat display, it is a backlight device and is not limited by a viewing angle. In addition, contrast and The response speed is significantly better. Therefore, it can be used not only as a portable terminal but also as a large display device.
  • Example 1 This example describes a process for manufacturing a thin film transistor and a capacitor using the present invention.
  • Figures 13 and 14 show cross-sectional views of this fabrication process, and
  • Figure 15 shows a top view.
  • a gate electrode (gate wiring) 901 and a capacitor electrode (capacity wiring) 902 are formed on a substrate 101 (FIGS. 13A and 15A).
  • a transparent substrate made of glass, plastic, or the like is used as the substrate 101.
  • the gate electrode 91 and the capacitor electrode 102 are formed of the same layer, and are formed by laminating aluminum (A 1) containing neodymium (Nd) and molybdenum (Mo). After that, selective processing is performed locally. In this embodiment, since the selective processing is performed, a photolithographic process using a photomask is not required, and the manufacturing process can be greatly simplified.
  • the gate electrode 901 and the capacitor electrode 902 may be made of a conductive material such as chrome (Cr) in addition to aluminum (A 1) containing neodymium (Nd). May be used.
  • an insulating film (gate insulating film) 903 covering the gate electrode 901 and the capacitor electrode 902 is formed (FIGS. 13B and 15B).
  • an insulating film such as a silicon nitride film or a silicon oxide film, or a film in which a silicon nitride film, an oxidized silicon film, or the like is stacked is used.
  • a semiconductor film 904 having an amorphous structure is locally formed on the insulating film 903 by selective processing. In this embodiment, a photomask is used to perform the selective processing. It is possible to greatly simplify the manufacturing process that requires the required photolithographic process.
  • a protective film 905 is formed on a portion of the semiconductor film 904 to be a channel region of the TFT. The protective film 905 is formed by locally performing selective processing on a thigh film such as a silicon nitride film.
  • N-type semiconductor film FGS. 13C and 15C.
  • conductive films 908 and 909 in which molybdenum (Mo), aluminum (A1), and molybdenum (Mo) are sequentially laminated are formed by locally performing selective processing.
  • the conductive films 908 and 909 as a mask the N-type semiconductor film is etched to form N-type semiconductor layers 906 and 907.
  • a rising film 910 made of a silicon nitride film or a silicon oxide film is formed on the entire surface above the conductive films 908 and 909 (FIGS. 13D and 15D). .
  • a contact hole penetrating through the insulating film 910 and reaching the wiring 909 is formed.
  • the contact hole is formed using the method described in the second embodiment.
  • the pixel electrode 911 is formed by locally selectively processing a transparent conductive film such as ITO (FIG. 15 (E)) o
  • an alignment film 912 is formed on the pixel electrode 911 (FIG. 14). Subsequently, after bonding the opposing substrate 918 on which the alignment film 915, the opposing electrode 916 and the light shielding film 917 are formed, the liquid crystal material 913 is injected to complete the display panel. . The gap between the substrate 101 and the counter substrate 918 is held by the spacer 914.
  • a transistor and a capacitor can be formed. According to this embodiment mode, manufacturing can be performed without using a photolithographic process, so that a significant reduction in a manufacturing process and a reduction in manufacturing cost can be realized.
  • Example 1 In this example, an example of a manufacturing procedure of a light-emitting device having an EL element will be described with reference to FIGS.
  • the light emission mechanism of an EL element is as follows.By applying a voltage across an organic compound layer between a pair of electrodes, electrons injected from a cathode made of a material with a small work function and holes injected from an anode are It is said that a molecular exciton is recombined at the emission center in the organic compound layer to form a molecular exciton, and when the molecular exciton returns to the ground state, it emits energy and emits light. Singlet excitation and triplet excitation are known as excited states, and light emission is considered to be possible through either excited state.
  • Light emitting devices formed by arranging such EL elements in a matrix form include passive matrix driving (simple matrix type) and active matrix driving (active matrix driving) in which a switch is provided for each pixel (or one dot). It is possible to use a driving method such as a matrix type.
  • a TFT (not shown) is fabricated on a substrate 150 having a rising surface.
  • an N-type TFT or a P-type TFT may be manufactured by a known method.
  • the first electrode 15 1 serving as the anode is The electrode is formed so as to partially overlap with an electrode (not shown).
  • the first electrode 151 a large conductive film material work function - with (ITO, ⁇ ⁇ 2 0 3 ⁇ , ⁇ etc.), formed by I Nkujietsu Bok method.
  • a solution containing an insulating material is selectively jetted by an ink-jet method to form a partition wall, an object, a barrier, a bank, etc. 152a (FIG. 16A).
  • the partition wall 152a covers the end of the first electrode 151, the wiring, and the electrode, and isolates between the electrodes.
  • a photosensitive or non-photosensitive organic material polyimide, acrylic, polyamide, polyimide amide, resist, or benzocyclobutene obtained by a coating method, or a laminate of these materials can be used. it can.
  • the partition wall 152a either a negative type which becomes insoluble in an etchant by photosensitive light or a positive type which becomes soluble in an etchant by light can be used.
  • plasma processing is selectively performed using the nozzle 12 (FIG. 16B).
  • the shape of the partition is adjusted by this plasma treatment.
  • a curved surface having a curvature (a radius of curvature (0.2 m to 3 m)) is formed at the upper end or the lower end of the partition 152b.
  • a layer 153 containing an organic compound is selectively formed over the first electrode (anode) 151 by an inkjet method.
  • a full color display can be obtained by selectively forming a layer containing an organic compound that can emit R and B light.
  • a second electrode (cathode) 154 is formed on the layer 153 containing the organic compound (liquor 16 (C)).
  • the second electrode (cathode) is also preferably formed by an inkjet method.
  • a material having a small work function Al, Ag, Li, Ca, or an alloy of these materials, Mg Ag, M gln, AlLi, CaF 2 , or CaN).
  • An EL device consisting of 54 is formed.
  • a protective film (not shown) is provided for sealing the light emitting element, or the light emitting element is sealed with a sealing substrate (not shown) or a sealing can (not shown).
  • a light-emitting element in which a layer containing an organic compound is formed on an anode and an anode is formed on the organic compound layer is provided. From the substrate to the TFT (hereinafter referred to as the bottom emission structure), but a layer containing an organic compound is formed on the anode, and the cathode, which is a transparent electrode, is formed on the layer containing the organic compound. (Hereinafter referred to as a top emission structure).
  • FIG. 12A illustrates a display device (also referred to as a television receiver or a television receiver) having a large display unit of, for example, 20 to 80 inches.
  • the present invention is directed to fabrication of the display unit 2003.
  • Such large display devices are the fifth generation (1000X 1200mm) and the sixth generation (1400X1) in terms of productivity and cost.
  • Fig. 12 (B) shows a notebook personal computer, which includes a main body 2201, a housing 2202, a display 2202, a keyboard 222, an external connection port 222, and a pointer. Including mouse 250. The present invention is applied to the manufacture of the display portion 222.
  • Fig. 12 (C) shows a portable image playback device equipped with a recording medium (specifically, a DVD playback device). Main body 2401, housing 2402, display unit A240. 3, display section B 244, recording medium (DVD etc.) reading section 245, operation keys 246, speaker part 244, etc. are included.
  • the display section A2403 mainly displays image information
  • the display section B2404 mainly displays character information. In the present invention, these display sections A, B2403, 2400 Applied to fabrication of 4.
  • the applicable range of the present invention is extremely wide, and the present invention can be applied to manufacture of electric appliances in all fields. Further, the present invention can be freely combined with the above-described embodiments and examples.
  • Conductive layers 801 and 802 are selectively formed on substrate 800 made of glass, quartz, organic resin, etc. by CVD evaporation or sputtering (see Fig. 21 (A)). ).
  • insulating layers 803 and 804 functioning as masks are formed over the conductive layers 801 and 802 by a droplet discharging method (see FIG. 21B). That is, the composition including the insulator is discharged to form the lower layers 803 and 804.
  • the conductive layers 803, 8 are formed by the plasma generation means 805 using the rising layers 803, 804 as a mask. 04 is etched to form conductive layers 806 and 807 (see FIG. 21C).
  • the rising layers 803 and 804 are asshinged by the plasma generating means 805 at or near the atmospheric pressure (see FIG. 21D). That is, the insulating layer 805 is removed.
  • an insulating layer 808 functioning as a gate insulating film, a semiconductor layer 809, and a semiconductor layer 810 provided with one conductivity type are stacked on the substrate 800 so as to be in contact with the conductive layers 806 and 807 (FIG. 22).
  • insulating layers 811, 812 functioning as masks are formed over the semiconductor layer 810 by a droplet discharge method.
  • the semiconductor layers 809 and 810 are etched by the plasma generation means 805 at or near the atmospheric pressure using the layers 811 and 812 as a mask to form semiconductor layers 813 to 816 (FIG. 22B). reference).
  • the insulating layers 811, 812 are ashed by the plasma generating means 805 at or near atmospheric pressure. That is, the insulating layers 811 and 812 are removed.
  • conductive layers 817 to 820 are selectively formed over the substrate 800 by a CVD method, an evaporation method, or a sputtering method so as to be in contact with the semiconductor layers 815 and 816 (see FIG. 23A).
  • the semiconductor layers 815 and 816 are etched at or near atmospheric pressure using the conductive layers 817 to 820 as masks (see FIG. 23A).
  • the semiconductor layers 813 and 814 are slightly etched as shown.
  • the present invention is characterized in that, first, a conductive layer is selectively formed by a CVD method, a vapor deposition method or a sputtering method, second, an insulating layer functioning as a resist mask is formed by a droplet discharging method, and The point at which the insulating layer, the semiconductor layer, and the conductive layer are etched by the plasma generating means at or near the atmospheric pressure. Fourth , the plasma generating means at or near the atmospheric pressure. Thus, a total of four points, namely, the point where the insulating layer functioning as a resist mask is ashesed.
  • the first feature that the conductive layer is selectively formed on the substrate without forming the conductive layer on the entire surface of the substrate improves the efficiency of material use.
  • the second feature of selectively forming a resist mask on the substrate without forming a resist mask on the entire surface of the substrate improves the material use efficiency. Therefore, the first and second features realize a significant reduction in manufacturing cost. In addition, the third and fourth features eliminate the need for vacuum equipment, thus reducing manufacturing time and manufacturing cost. Further, the plasma generating means includes first and second electrodes, wherein the first electrode surrounds the periphery of the second electrode, and has a nozzle-shaped gas supply port at its tip. When a plurality of cylindrical members having a plurality of cylindrical members are arranged in a uniaxial direction, gas can be supplied selectively, thereby improving gas use efficiency.
  • a composition in which metal fine particles are dispersed in an organic solvent is used.
  • the metal fine particles having an average particle diameter of 1 to 50 nm, preferably 3 to 7 nm are used.
  • they are silver or gold fine particles, the surface of which is coated with a dispersant such as amine, alcohol, or thiol.
  • a dispersant such as amine, alcohol, or thiol.
  • the organic solvent is a phenolic resin, an epoxy resin, or the like, and a thermosetting or photocurable one is used.
  • the viscosity of the composition may be adjusted by adding a thixotropic agent or a diluting solvent.
  • the organic solvent is cured by a heat treatment or a light irradiation treatment of the composition discharged in an appropriate amount onto the surface to be formed by the droplet discharge means.
  • Metal shrinkage due to volume shrinkage accompanying curing of organic solvent The particles come into contact with each other, and fusion and adhesion are promoted. That is, a wiring is formed in which metal fine particles having an average particle diameter of 1 to 50 nm, preferably 3 to 7 nm are fused or fused.
  • B $ bonding or fusion it is possible to realize a reduction in the resistance of the wiring.
  • the composition by forming a conductive pattern using such a composition, it becomes easy to form a wiring pattern having a line width of about 1 to about I0 m. Similarly, even if the diameter of the contact hole is about 1 to about L0 m, the composition can be filled therein. That is, a multilayer wiring structure can be formed with a fine wiring pattern.
  • Example 9 can be combined with any of Examples 1 to 8.

Abstract

 スピン塗布によりレジストの被膜を形成する場合、無駄となってしまうレジスト材料が存在し、さらに、必要に応じて端面洗浄の工程が増えてしまう。また、真空装置を用いて、基板上に薄膜を成膜する際には、チャンバー内を真空にする特別な装置や設備が必要で、製造コストが高くなってしまう。本発明は、絶縁表面を有する基板上に、CVD法、蒸着法又はスパッタ法により選択的に導電層を形成するステップと、前記導電層に接するように、組成物を吐出してレジストマスクを形成するステップと、前記レジストマスクを用いて、大気圧又は大気圧近傍下で、プラズマ発生手段により前記導電層をエッチングするステップと、大気圧又は大気圧近傍下で、前記プラズマ発生手段により前記レジストマスクをアッシングするステップを有することを特徴とする。上記特徴により、材料の利用効率を向上させて、製造コストの低減を実現する。

Description

明細書
配線の作製方法 技術分野
本発明は、 配線、 コンタクトホール及び表示装置の作製方法に関し、 より詳しくは 液滴噴射法 (インクジェット法、 液滴吐出法) を用いたレジストパターンなどの薄膜 の作製方法、 C VD (化学気相成長) 法、 蒸着法又はスパッ夕法による薄膜の作製方 法、 大気圧又は大気圧近傍下で行う局所的なエッチング処理方法、 アツシング処理方 法のいずれかの方法を用いた配線、 コンタク卜ホール及び表示装置の作製方法に関す る。 また、 薄膜を成膜する半導体製造装置に関する。 背景技術
»表面上の薄膜を用いて形成された薄膜トランジスタ (T F T) は集積回路等に 広く応用され、 多くの場合スィツチング素子として用いられる。 そのうち、 T F Tを 使用した表示パネルは、 特に大型の表示装置に用途が拡大していることから、 更に、 画面サイズの高精細化、 高開口率化、 高信頼性、 大型化の要求が高まっている。 このような薄膜トランジス夕における配線の作製方法としては、 基板の全面に導電 層の被膜を形成し、 その後マスクを用いてエッチング処理を行う方法がある。 また、 基板上に感光性樹脂 (フォトレジスト) の被膜を形成し、 パターンが描かれたマスク と紫外線を用いて露光現像するリソグラフィ技術が用いられる。 この技術により形成 したレジストパ夕一ンはエッチング処理の際にマスクとして用いられる (特許文献 1 参照。)。 (特許文献 1) 特開 2002— 359246号公報
また近年、 CRTテレビにはない、 薄型'軽量を実現する液晶テレビの普及が進ん でいる。 液晶テレビに高付加価値化を図る上で、 画面サイズは重要な要素となってお り、 現状のインチ別台数構成比では 20インチ未満がほぼ 70%を占めているが、 一 方では 20インチ以上で例えば 40インチのような大型の液晶テレビが出現している。 このような画面サイズの拡大は、 基板サイズの拡大を加速しており、 第四世代 (6 80X880、 730X920)、 第五世代 (1000X 1200) にまで変遷が進 行し、 またその解像度も VGA (640XRGBX480)、 SVGA (800XR GBX 600)、 XGA ( 1024 X RGB X 768)、 SXGA (128 OXRGB X 1024) と高精細化が進んでいる。 発明の開示
(発明が解決しょうとする課題)
レジストの被膜は、 レジストの液を滴下し、 基板を回転 (スピン) させてその遠心 力で被膜を作製するスピンコ一夕を用いて形成される場合が多い。 この場合、 スピン 塗布のときに滴下したレジストの 95 %程度は飛び散つてしまう。 そこで、 レジスト の材料、 スピンの回転速度、 回転の仕方に工夫が試みられているが、 それでもレジス 卜の 90 %程度は無駄になつてしまう。 大型基板を用いる場合には、 このような問題 は、 特に深刻な問題となる。
また、 スピン塗布を行うと、 基板周辺の端部にまでレジストが塗布される。 そうす ると基板のハンドリング時に端部のレジス卜が削れて基板に付着し、 パターン欠陥と なってしまう。 そのため、 有機溶剤などにより端部のレジストを除去する端面洗浄の 工程が必要となる。 つまり、 スピン塗布によりレジストの被膜を形成する場合、 無駄 となってしまうレジスト材料が存在し、 さらに、 必要に応じて端面洗浄の工程が増え てしまう。
また、 真空装置を用いて、 基板上に薄膜を成膜する際には、 チャンバ一内を真空に する特別な装置や設備が必要で、 製造コストが高くなつてしまう。 大型基板を用いる 場合には、 必然的にチヤンバーも大型化するため、 チヤンバー内を真空にすると処理 時間がかかってしまい、 さらに成膜ガスも大量に必要となってしまう。
本発明はこのような問題点を鑑みてなされたものであり、 液滴噴射法を用いること で、 スループットゃ材料の利用効率を向上させて、 作製コストの削減を目的とした配 線、 コンタクトホール及び表示装置の作製方法を提供することを課題とする。 また大 気圧又は大気圧近傍下におけるプラズマ処理方法を用いることで、 基板の大型化に対 応できる配線、 コンタクトホール及び表示装置の作製方法を提供することを課題とす る。
また、 上記の課題を解決する配線、 コンタクトホール及び表示装置の作製方法を実 現することが可能な半導体製造装置の提供を課題とする。
(課題を解決するための手段)
上述した従来技術の課題を解決するために、本発明においては以下の手段を講じる。 本発明は、 絶縁表面を有する基板上に C VD法、 蒸着法又はスパッ夕法により導電 層を形成し、 感光剤を含む組成物を噴射するヘッドを用いて、 前記導電層に接するレ ジストパターンを形成し、 前記レジス卜パターンをマスクとして前記導電層にエッチ ング処理を行った後、 前記レジストパターンにアツシング処理を行う配線の作製方法 であって、 前記レジス卜パターンは前記ヘッド又は前記基板を走査して形成し、 前記 エッチング処理又は前記アツシング処理は、 大気圧又は大気圧近傍下で、 線状に配置 された複数のプラズマ発生手段を走査して行うことを特徴とする。
本発明は、 «表面を有する基板上に C VD法、 蒸着法又はスパッ夕法により半導 体層又は導電層を形成し、 前記半導体層又は前記導電層上に «層を形成し、 前記絶 縁層にエッチング処理を行って、 前記半導体層又は前記導電層に達するコンタクトホ —ルを形成するコンタクトホールの作製方法であって、 前記エッチング処理は、 大気 圧又は大気圧近傍下で、 線状に配置された複数のプラズマ発生手段を走査して行うこ とを特徴とする。
本発明は、 上記の配線の作製方法及びコンタクトホールの作製方法の一方又は両者 を用いて、 表示装置を作製することを特徴とする。 表示装置としては、 薄膜技術を用 いた全ての表示装置が挙げられ、 例えば液晶素子を用いた液晶表示装置、 自発光素子 を用いた発光装置が挙げられる。
本発明は、 絶縁表面を有する基板上に C VD法、 蒸着法又はスパッ夕法により導電 層を形成する形成手段と、 感光剤を含む組成物を噴射するヘッドを用いてレジストパ ターンを形成する液滴噴射手段と、 前記基板又は前記へッドを移動する移動手段と、 大気圧又は大気圧近傍下で、 エッチング処理又はアツシング処理を行う複数のプラズ マ発生手段とを有する半導体製造装置であって、 前記複数のプラズマ発生手段は線状 に配置されていることを特徴とする。
導電層又は半導体層は、 C VD法、 蒸着法又はスパッ夕法により形成し、 好ましく は選択的に形成することを特徴とする。 詳しくは、 マスク (メタルマスク) を用いる ことで、 基板全面に成膜せず、 所望の箇所のみに選択的に形成する。 また例えば蒸着 法であれば、 蒸着源を供給する供給口を細口にして走査することで、 基板全面に成膜 せず、 所望の箇所のみに選択的に形成する。
レジストパターンの形成は、 感光剤を含む組成物を噴射するへッドを用いて行うこ とを特徴とする。 これは、 いわゆる液滴噴射法 (インクジェット法) を用いたもので あり、 ヘッド又 板を走査することにより行う。 本構成により、 スピン塗布を用い てレジストパターンを作製する場合に比べて、 レジスト材料の利用効率が格段に向上 し、 作製費用の低減につながる。 また、 ヘッド又は基板の一方又は両者を走査するこ とが可能であるため、 精度が向上して、 所望の箇所のみに成膜することができる。 エッチング処理又はアツシング処理は、 大気圧又は大気圧近傍下で、 線状に配置さ れた複数のプラズマ発生手段を走査して行うことを特徴とする。 本処理には、 真空設 備を必要としないために、 生産性の向上や、 作製費用の低減を可能とする。 また、 線 状に配置された複数のプラズマ発生手段を用いることで、 タクトタイムの点で有利と なり、 好ましくは、 基板の一辺と同じ長さとなるように線状に複数のプラズマ発生手 段を配置すると、 一回の走査で処理を終わらせることができる。 なお走査方向は、 基 板の一辺と平行な方向に限らず、 斜め方向に走査してもよい。
また線状に配置された複数のプラズマ発生手段のうち、 全てのプラズマ発生手段か ら反応ガスを供給する必要はなく、 目的のボイントのみに所定のガス流を供給すれば 処理を行うことができる。 従って、 反応ガスを常に供給する必要がない本発明は、 ガ スの節約につながり、 作製費用の低減を可能とする。
また、 コンタクトホールの作製方法においては、 前記複数のプラズマ供給手段から 選択された一つ又は複数にのみプラズマが発生することを特徴とする。 つまり、 線状 に配置された複数のプラズマ発生手段を前記基板と相対的に走査して、 コンタクトホ —ルを形成したい所望の箇所のみに反応ガスを供給するように設定する。 このような 構成を有する本発明は、 全面に反応ガスを供給する場合に比較して、 ガスの利用効率 が向上し、 作成費用の低減につながる。
上記構成を有する本発明は、 製造ラインの省スペース化、 効率化が図れ、 表示パネ ルの製造で大幅な品質向上、 生産性向上、 製造コスト低減に貢献し、 地球環境に « した配線、コンタクトホール及び表示装置の作製方法を提供することができる。また、 生産に連結したインライン処理が可能な大気圧方式のため、 高速、 連続処理が可能で ある。 さらに、 所望の箇所に必要な量の材料のみを用いればよいため、 無駄な材料が 僅かとなることから材料の利用効率の向上、 さらには作製費用の削減を実現する。 本発明は、 感光剤を含む組成物を噴射するヘッドを用いて、 基板上の導電層に接す るレジストパ夕一ンを形成し、 前記レジストパターンをマスクとして前記導電層にェ ツチング処理を行った後、 前記レジストパターンにアツシング処理を行う配線の作製 方法であって、 前記導電層は、 C VD法、 スパッ夕法又は蒸着法により形成し、 前記 レジストパターンは、 前記ヘッド又は前記基板を移動して形成し、 前記エッチング処 理又は前記アツシング処理は、 大気圧又は大気圧近傍下でプラズマ発生手段を用いて 行うことを特徴とする。
本発明は、上記の配線の作製方法を用いて、表示装置を作製することを特徴とする。 表示装置としては 薄膜技術を用いた全ての表示装置が挙げられ、 例えば液晶素子を 用いた液晶表示装置、 自発光素子を用いた発光装置が挙げられる。
(発明の効果)
上記構成を有する本発明は、 製造ラインの省スペース化、 効率化が図れ、 表示パネ ルの製造で大幅な品質向上、 生産性向上、 製造コスト低減に貢献し、 地球環境に « した配線、コンタクトホール及び表示装置の作製方法を提供することができる。また、 生産に連結したインライン処理が可能な大気圧方式のため、 高速、 連続処理が可能で ある。 さらに、 所望の箇所に必要な量の材料のみを用いればよいため、 無駄な材料が 僅かとなることから材料の利用効率の向上、 さらには作製費用の削減を実現する。 図面の簡単な説明
図 1は、 プラズマ処理装置を示す図である。
図 2は、 プラズマ処理装置を示す図である。
図 3は、 液滴噴射法を説明する図である。
図 4は、 配線の作製方法を説明する図である。
図 5は、 配線の作製方法を説明する図である。
図 6は、 コンタクトホールの作製方法を説明する図である。
図 7は、 液滴噴射装置を説明する図である。
図 8は、 作製フローを説明する図である。
図 9は、 スパッタ装置を説明する図である。
図 1 0は、 蒸着装置を説明する図である。
図 1 1は、 液晶表示装置を示す図である。
図 1 2は、 電子機器を示す図である。
図 1 3は、 薄膜トランジスタの作製方法を示す図である。
図 1 4は、 薄膜トランジスタの断面構造を示す図である。
図 1 5は、 薄膜トランジスタの上面図である。 図 16は、 表示装置の作製方法を示す図である。
図 17は、 プラズマ処理装置を示す図である。
図 18は、 プラズマ処理装置を示す図である。
図 19は、 プラズマ処理装置を示す図である。
図 20は、 プラズマ処理装置を示す図である。
図 21は、 薄膜トランジスタの作製方法を示す図である。
図 22は、 薄膜卜ランジス夕の作製方法を示す図である。
図 23は、 薄膜トランジスタの作製方法を示す図である。 発明を実施するための最良の形態
本発明の実施の形態について、 図面を用いて詳細に説明する。 但し、 本発明は以下 の説明に限定されず、 本発明の趣旨及びその範囲から逸脱することなくその形態及び 詳細を様々に変更し得ることは当業者であれば容易に理解される。 従って、 本発明は 以下に示す実施の形態の記載内容に限定して解釈されるものではない。 尚、 以下に説 明する本発明の構成において、 同じものを指す符号は異なる図面間で共通して用いる こととする。
(実施の形態 1)
まず本発明の特徴として、 複数の電極が線状に配置されたプラズマ発生手段を走査 して、 大気圧又は大気圧近傍下 (6. 6X 102〜1. lX 105Pa) でエッチング 処理又はアツシング処理を行うことが挙げられる。 そこで、 図 1、 2を用いて、 本発 明において用いるプラズマ処理装置の一例として、 第 1の電極が第 2の電極を取り囲 み、 その先端にノズル状の細口を有する、 複数の円筒状の電極を有する装置について 説明する。
図 2 (A) は前記装置の上面図であり、 図 2 (B) は前記装置の断面図である。 同 図において、 カセット室 1 6には、 所望のサイズのガラス基板、 プラスチック基板に 代表される樹脂基板等の被処理物 1 3がセットされる。 代表的な被処理物 1 3の搬送 方式としては、 水平搬送が挙げられるが、 代表的な被処理物 1 3である第 5世代以降 の基板を用いる場合には、 搬送機の占有面積の低減を目的として、 基板を縦置きにし た縦形搬送を行ってもよい。
搬送室 1 7では、 カセット室 1 6に配置された被処理物 1 3を、 搬送機構 (口ポッ トアーム) 2 0によりプラズマ処理室 1 8に搬送する。 搬送室 1 7に隣接するプラズ マ処理室 1 8には、 気流制御手段 1 0、 円筒状の複数の電極が線状に配置されたブラ ズマ発生手段 1 2、 前記プラズマ発生手段 1 2を移動させるレール 1 4 a、 1 4 b等 が設けられる。 また、 必要に応じて、 ランプなどの公知の加熱手段 (図示せず) が設 けられる。
気流制御手段 1 0は、 防塵を目的としたものであり、 ガスの吹き出し口 2 3から噴 射される不活性ガスを用いて、 外気から遮断されるように気流の制御を行う。 プラズ マ発生手段 1 2は、 被処理物 1 3の搬送方向に配置されたレール 1 4 a、 また該搬送 方向に垂直な方向に配置されたレール 1 4 bにより、 所定の位置に移動する。
次いで、 プラズマ発生手段 1 2の詳細について図 1を用いて説明する。 図 1 (A) は、 複数の円筒状の電極が線状に配置されたプラズマ発生手段 1 2の #1見図を示し、 図 1 (B) 〜 (D) には円筒状の電極の断面図を示す。
図 1 (B) において、 点線はガスの経路を示し、 2 1、 2 2はアルミニウム、 銅な どの導電性を有する金属からなる電極であり、 第 1の電極 2 1は電源 (高周波電源) 2 9に接続する。 なお第 1の電極 2 1には、 冷却水を循環させるための冷却系 (図示 せず) が接続されていてもよい。 冷却系を設けると、 冷却水の循環により連続的に表 面処理を行う場合の加熱を防止して、 連続処理による効率の向上が可能となる。 第 2 の電極 2 2は、 第 1の電極 2 1の周囲を取り囲む形状を有し、 電気的に接地されてい る。 そして、 第 1の電極 2 1と第 2の電極 2 2は、 その先端にノズル状のガスの細口 を有する円筒状を有する。
なお、 第 1の電極 2 1及び第 2の電極 2 2の一方又は両方は、 固体誘電体で覆うと よい。 固体誘電体としては、 酸化アルミニウム、 二酸化ジルコニウム及び二酸化チタ ン等の金属酸化物、 ポリエチレンテレフ夕ラート及びポリテトラフルォロエチレン等 の有機物、 酸化珪素、 ガラス及びチタン酸バリウム等の酸化物等が挙げられる。 固体 誘電体の形状は、 シート状でもフィルム状でもよいが、 厚みが 0. 0 5〜4mmであ ることが好ましい。 これは、 放電プラズマを発生するのに高電圧を要するため、 固体 誘電体が薄すぎると、 電圧印可時に絶縁破壊が起こって、 アーク放電が発生してしま うからである。
この第 1の電極 2 1と第 2の電極 2 2の両電極間の空間には、 バルブ 2 7を介して ガス供給手段 (ガスボンベ) 3 1よりプロセス用ガスが供給される。 そうすると、 こ の空間の雰囲気は置換され、 この状態で高周波電源 2 9により第 1の電極 2 1に高周 波電圧 (例えば、 1 0〜 5 0 0 MH z ) が印加されると、 前記空間内にプラズマが発 生する。 そして、 このプラズマにより生成されるイオン、 ラジカルなどの化学的に活 性な励起種を含む反応性ガス流を被処理物 1 3の表面に向けて照射すると、 該被処理 物 1 3の表面において所定の表面処理を行うことができる。 なおガス供給手段 (ガス ボンべ) 3 1に充填されるプロセス用ガスは、 処理室内で行う表面処理の種類に合わ せて適宜設定する。また、排気ガスは、バルブ 2 7を介して排気系 3 1に導入される。 なおこの排気ガスは、 フィル夕を介することで、 混入したゴミを!^ ¾して精製し、 再 利用を図ってもよい。 このように再利用を行うことによって、 ガスの利用効率をさら に向上させることができる。
次に、 断面が異なる円筒状のプラズマ発生手段 1 2について、 図 1 (C) (D) を用 いて説明する。 図 1 (C) には、 第 1の電極 2 1の方が第 2の電極 2 2よりも長く、 且つ第 1の電極 2 1が鋭角形状を有するプラズマ発生手段 1 2を示す。また、図 1 (D) には、 第 1の電極 2 1及び第 2の電極 2 2の間で発生したイオン化したガス流を外部 に噴射する形状を有するプラズマ発生手段 1 2を示す。 このように、 プラズマ発生手 段の形状は特に限定されず、 どのような形状を有していてもよい。
大気圧又は大気圧近傍下で動作するプラズマ処理装置を用いる本発明は、 減圧装置 に必要である真空引きや大気開放の時間が必要なぐ 複雑な真空系を配置する必要が ない。 特に大型基板を用いる場合には、 必然的にチャンバ一も大型化し、 チャンバ一 内を減圧状態にすると処理時間もかかってしまう。 従って、 大気圧又は大気圧近傍下 で動作させる本装置を用いる本発明は有効であり、 製造コストの低減が可能となる。 また、 円筒状の複数の電極が線状に配置されたプラズマ発生手段 1 2を用いること に特徴を有する本装置は、 一度だけの走査でプラズマ処理を行うことができるため、 大型基板に特に有効である。 さらに、 プラズマ発生手段 1 2を走查することで、 必要 箇所のみに処理を行えばよく、 不必要な箇所ではガスの供給を停止すればよいため、 用いるガスの利用効率が向上し、 作製費用の低減が可能となる。
つまり、 本発明において用レ るプラズマ処理装置は、 被処理物 1 3とプラズマ発生 手段 12との間の距離を一定に維持したまま、 前記被処理物 13又は前記プラズマ発 生手段 12を走査して、 前記被処理物 13の表面にプラズマ処理を行う。 そこで、 円 筒状の複数の電極が一軸方向に配列されたプラズマ発生手段 12を用いる本発明は、 被処理物 13又はプラズマ発生手段 12を走査する回数を減少させることができるた め、 被処理物 13として大型基板を用いる場合に有効である。
上記の装置を用いて、 被処理物 13の表面にエッチング処理を行う場合には、 ガス 供給手段 31から NF3、 CF4 (四フッ化炭素)、 SF6、 COxなどの原料ガスと、 水素、 酸素のうちの一つと希ガスとの混合ガスをプラズマ発生手段 12に供給して、 プラズマを発生させることにより行う。 例えば、 NF3や SF6などの原料ガスを用い てフッ素原子を発生させ、 これが固体のシリコンと反応して揮発性の S i F4ガスと して気化させ、 外部に排気することにより、 エッチング処理を行う。 また、 被処理物 13の表面にアツシング処理を行う場合には、 ガス供給手段 31から酸素の原料ガス と、 水素、 CF4、 NF3、 H20、 CHF3のうちの一つとプラズマ発生手段 12に供 給して、 プラズマを発生させることにより行う。 例えば、 感光性有機レジストのアツ シング処理は、 酸素と四フッ化炭素を導入して、 C02、 C〇、 H2〇にして、 剥離さ せることによってアツシング処理を行う。
なお上記の装置を用いて、 プラズマ CVD法による薄膜の成膜を行ってもよく、 絶 縁膜は勿論、 金属などの導電膜の成膜を行ってもよい。 また、 部品のクリーニング処 理を行ってもよく、 特に電極 21、 22のクリーニング処理は、 NF3、 CF4 (四フ ッ化炭素)、 SF6、 C〇 xなどのガス、 有機物の場合は〇 2を用いたブラズマによりク リ一ニングを行ってもよい。
また、本発明は、液滴噴射法によりレジストパターンを形成することを特徴とする。 より詳しくは、 感光剤を含む組成物を噴射する 1個のヘッドを用いて、 前記導電層に 接するレジストパターンを形成する。 この際、 ヘッド又は基板を走査することで、 レ ジストパターンを形成することを特徴とする。 そこで、 上述した大気圧又は大気圧近 傍下で行うプラズマ処理方法及びこの液滴噴射法を用いた本発明の配線の作製方法に ついて以下に説明する。
まず、 ガラス、 石英、 半導体、 プラスチック、 プラスチックフィルム、 金属、 ガラ スエポキシ樹脂、 セラミックなどの各種素材を基板 1 0 1とする (図 3 (A))。 基板 1 0 1の材料としては、 本発明の作製工程の処理温度に耐えられる材料であれば、 ど のようなものでも構わない。
続いて、 基板 1 0 1上に、 選択的に導電膜 1 0 2 a〜l 0 2 c (以下、 導電膜 1 0 2と総称) を形成する。 なお、 基板 1 0 1上には既に下地膜が形成された状態、 また は既にトランジス夕などの半導体素子及び絶縁膜が形成された状態でも構わないが、 ここでは、 説明の便宜上、 基板 1 0 1上に導電膜 1 0 2が形成されるとする。
そして本発明では、 導電膜 1 0 2の成膜は、 CVD法、 蒸着法又はスパッ夕法によ り選択的に行うことを特徴とする。 換言すると、 基板 1 0 1の全面に導電膜 1 0 2を 成膜するのではなく、 後に配線を形成する箇所のみに選択的に導電膜を成膜すること を特徴とする。 上記構成を有する本発明は、 配線として用いる材料の禾佣効率が向上 するため、 作製費用の低減が可能となる。
CVD法により導電膜 1 0 2を成膜する場合、 ソースガス、 反応温度、 反応圧力を 設定して行う。 例えば、 タングステン (W) 膜を成膜する場合、 ソースガスを W F 6、 反応温度を 2 0 0〜5 0 0 として行う。 また、 アルミニウム (A 1 ) 膜を成 膜する場合、 主に有機化合物を比較的低温で分解して作製する方法が採用され、 ソ一 スガスを (C 4H9) 3A 1、 反応温度を 2 5 0〜2 7 0 °Cとし、 ガスの温度を導入途 中で熱的に活性化させて成膜する。 また銅 (C u) 膜を成膜する場合、 ソ一スガスに 銅を含む有機化合物、 反応温度 1 0 0〜3 0 0 °Cとして、 熱分解で成膜する。 なお成 膜する薄膜の種類によって、 減圧下で行う必要があるため、 その場合には、 所定の圧 力に設定して行う。
蒸着法により導電膜 1 0 2を成膜する場合、 通電加熱、 電子ビーム、 ホロ一力ソー ド、 レーザアブレーシヨンなどが代表的なソースとしてあげられる。 しかしながら、 レーザアブレーション以外の方法では、 組成変化が生じてしまう可能性がある。 その ため、 合金膜を成膜するためには、 合金材料を粒状にして、 一つ一つの粒を瞬間的に 蒸発させるフラッシュ蒸着法などの方法を用いるとよい。 蒸着法により選択的に導電 膜 1 0 2を成膜する場合には、 蒸着源の供給口を小さくし、 該蒸着源又〖雄板を走査 することで行う。
スパッタ法により導電膜 1 0 2を成膜する場合、 二極スパッ夕やマグネトロンスパ ッタなどの電極の工夫による方式と、 高周波スパッ夕などのスパッ夕の運転法の工夫 による方式のどちらを用いても構わない。 スパッタ法により、 選択的に形成する方法 として、 例えば二極スパッ夕を例に挙げると、 2つの電極を縦置きにして、 該 2つの 電極の間に四角形の板状のターゲットを挟む構造を採用する方法がある。 この際、 被 処理物に対向する夕一ゲット自体の面積を小さく設定することで、 選択的に形成する ことができる。
なお上記の 3つの方法では、 導電膜を選択的に成膜する場合について述べたが、 本 発明はこれに限定されない。 全面に導電膜を成膜する方法にメタルマスクを併用する ことで、 選択的に成膜しても構わない。 この場合、 配線の材料の禾 効率は向上しな いが、 後のエッチング処理工程においては、 レジストパターンに被覆された箇所以外 の全ての薄膜をエッチング処理する必要がなく、 所望の筒所のみをエッチング処理す ればよい。 そのため、 エッチング処理時に使用するガスの無駄が削減されて、 ガスの 利用効率は上昇する。
続いて、 導電膜 1 0 2上に、 液滴噴射法により、 紫外線に反応するフォトレジスト (感光性樹脂) を成膜して、 レジスト 1 0 4〜 1 0 6を形成する (図 3 (B))。 より 詳しくは、 へッド 1 0 3力 、 感光剤を含む組成物を噴射して、 導電膜 1 0 2上にレ ジスト 1 0 4〜 1 0 6を成膜する。
このときの上面図を図 5 (A) に示す。 ヘッド 1 0 3は、 基板 1 0 1の表面と平行 な状態で、 上下左右に走査することができる。 なお図 5 (A) (B) には、 1個のへッ ド 1 0 3を図示したが、 図 5 (C) に示すように複数個 (例えば 3個) のヘッド 1 0 3を用いてもよい。 また、 ノズル径の異なるヘッドを複数個用意し、 用途に応じて、 径の異なるヘッドを使い分けてもよい。 複数個のヘッド 1 0 3を用いる場合は、 基板 1 0 1の行方向及び列方向と平行に走査しても構わないし、 前記基板 1 0 1の行方向 及び列方向に対して斜め方向に走査しても構わない。 また、 同じ箇所を複数回走査す ることで重ね塗りをしてもよい。さらに、ヘッド 1 0 3を走査することが好ましいが、 基板 1 0 1を移動させてもよい。 どちらを移動させるかはその精度と用途に応じて決 めるとよい。 なお基板 1 0 1とへッド 1 0 3は、 所望の箇所に滴下するために、 でき るだけ近づけておくことが好ましく、 その距離は具体的には 3ミリ以下、 好ましくは 1ミリ以下、さらに好ましくは 0 . 5ミリ以下が好ましい。この液滴の正確な噴射は、 距離にも依存するため、 距離を測定するセンサなどを用いて、 この距離を正確に保持 することができるようにしてもよい。 なお、 導電膜 1 0 2は、 CVD法、 蒸着法又はスパッ夕法により選択的に形成する が、 図 5 (A) では簡 匕して図示し、 基板 1 0 1上の全面に導電膜 1 0 2を形成し た場合を示す。
ヘッド 1 0 3から噴射する組成物には、 感光剤を含む組成物を用いればよく、 例え ば、 代表的なポジ型レジストである、 ノポラック樹脂と感光剤であるナフトキノンジ アジド化合物、 ネガ型レジス卜であるベース樹脂、 ジフエニルシランジオ一ル及び酸 発生剤などを、溶媒に溶解又は分散させたものを用いる。溶媒としては、酢酸プチル、 酢酸ェチル等のエステル類、 イソプロピルアルコール、 エチルアルコール等のアルコ ール類、 メチルェチルケトン、 アセトン等の有機溶剤などを用いる。 溶媒の濃度は、 レジストの種類などに応じて ¾ϋ設定するとよい。
また、上記以外の材料として、へッド 1 0 3から噴射する組成物は、エポキシ樹脂、 アクリル樹脂、 フエノール樹脂、 ノポラック樹脂、 アクリル樹脂、 メラミン樹脂、 ゥ レタン樹脂等の樹脂材料を用いてもよい。 なおこれらの樹脂材料を用いる塲合、 その 粘度は、 溶媒を用いて溶解又は分散することで調整する。
ヘッド 1 0 3から 1回に噴射する組成物の量は 1 0〜7 O p 1 (より広くは 0. 0 0 1〜1 0 0 p 1 )、粘度は 1 0 0 c p以下、粒径 0 . 1 以下 (より広くは 1 m 以下) が好ましく、 ノズル径は 5〜1 0 0 ,賺 (より広くは 0. 0 1〜1 0 0 ) が好ましい。 これは、 乾燥が起こることを防ぎ、 また粘度が高すぎると、 噴射口から 組成物を円滑に噴射できなくなったりするためである。 用いる溶媒や、 用途に合わせ て組成物の粘度、 表面張力、 乾燥速度などは 調節する。 またヘッド 1 0 3から噴 射する組成物は、 基板上で連続して滴下して線状又はストライプ状に形成することが 好ましいが、 例えば 1ドット毎などの所定の箇所毎に滴下してもよい。 また、 上記の液滴噴射法によるレジストパターンの形成は、 大気圧下、 減圧下 (大 気圧近傍、 真空も含む) で処理を行う。 減圧下とは、 大気圧よりも低い圧力下である ことを指し、 窒素、 希ガスその他の不活性ガスで充填された雰囲気では例えば 1 X 1 02〜2X 104P a (好ましくは、 5X 102〜5 X 103P a) とすれば良いし、 さ らに高い真空中 (減圧下) では l〜5X 104P a (l X l 02〜l X 103P a) と すれば良い。 減圧下にしておくことで、 液滴 板上の薄膜に到達するまでの間、 常 に液滴から溶媒が揮発し、 その体積は減少していく。 そのため、 必要に応じて、 後に 行う加熱工程をより短時間で済ませることができる。
そして、 レジストパターン 104〜106の成膜が終了したら、 レジストの硬化を 目的として、 10 o°c程度で焼成するプリべ一ク処理を行う。 この加熱処理は、 加熱 源にハ口ゲンなどのランプを用いて、直接基板を高速加熱するランプアニール装置や、 レーザー光を照射するレ一ザ一照射装置を用いる。両者とも加熱源を走査することで、 所望の箇所のみに加熱処理を行うことができる。 伹し、 レ一ザ一光を用いる場合、 レ 一ザ一発振装置から発振されるレーザ一光の基板におけるビ一ムスポットの形状は、 列又は行の長さ、 つまり、 パターンの一辺の長さと同じ長さになるように線状に成形 することが好ましい。 そうすると、 一度の走査でレ一ザ一照射を終了させることがで きる。 その他の方法として、 所定の温度に設定されたファーネスァニ一ル炉を用いて もよい。
次に、 露光処理を行う (図 3 (C))。 露光処理とは、 予め目的のパターンが書き込 まれたマスク (フォトマスク) 107をレジスト 104〜106の上に重ねて、 その 上から紫外線を照射する処理をよぶ。 本処理では、 基板全面を数力所ずつ分けて、 紫 外線ランプなどの光源を用いて、 感光剤の感光波長域の光を照射する。 続いて、 露光で紫外線が照射された部分のレジストを現像液に浸して取り去る現像 処理を行って、 露光で焼き付けたパターンを実際のレジストパターン 1 0 8〜1 1 0 にする酒 4 (A))。そして、再び 1 2 0 °C程度で焼成するボス卜べ一ク処理を行う。 次に、 レジストパターン 1 0 8〜: L 1 0で覆われていない部分の膜に、 プラズマ発 生手段 1 1 8を用いて、エッチング処理を行って除去する(図 4 (B))。本発明では、 大気圧又は大気圧近傍下で、 プラズマを用いたドライエッチング処理を行うことを特 徵とする。エッチングガスは、被加工物に応じて適宜選択すればよく、 C F 4、 N F 3、 S F 6などのフッ素系、 C 1 2、 B C 1 3などの塩素系のエッチングガスを用いて行う。 本実施の形態では、 酸素を混合したガスを用いて、 有機物であるレジス卜もエツチン グされることを利用し、 導電層をテーパー形状にエッチングし、 導電層 1 1 2〜1 1 4と、 レジストパターン 1 1 5〜 1 1 7を形成した。
最後に、 レジストパターン 1 1 5〜: L 1 7に、 プラズマ発生手段 1 1 8を用いて、 アツシング処理を行って除去する (図 4 (C))。 本発明では、 大気圧又は大気圧近傍 下で、 プラズマ化したガスとレジストを反応させ、 レジストを気化させて取り除くプ ラズマアツシャを用いることを特徴とする。 なおプラズマアツシャでは、 一般には酸 素ガスが用いられており、 レジストが炭素、 酸素、 水素からできた固体の物質である ことから、 酸素プラズマと化学反応すると C O 2、 H20、 〇2のような気体となる現 象を利用している。 なお このプラズマアツシャを用いる場合、 実際のレジストが含 有する重金属などの不純物は除去されないので、 ゥエツトステーションで洗浄しても よい。
本発明において、 このエッチング処理及びアツシング処理は、 線状に配置された複 数のプラズマ発生手段を走査して行うことを特徴とする。 本処理には、 真空設備を必 要としないために、 生産性の向上や、 作製費用の低減を可能とする。 また、 線状に配 置された複数のプラズマ発生手段を用いることで、 タクトタイムの点で有利となり、 好ましくは、 基板の一辺と同じ長さとなるように線状に複数のプラズマ発生手段を配 置すると、 一回の走査で処理を終わらせることができる。 なお走査方向は、 基板の一 辺と平行な方向に限らず、 斜め方向に走査してもよい。
また線状に配置された複数のプラズマ発生手段のうち、 全てのプラズマ発生手段か ら反応ガスを供給する必要はなく、 目的のボイントのみに所定のガス流を供給すれば 処理を行うことができる。 従って、 反応ガスを常に供給する必要がない本発明は、 ガ スの節約につながり、 作製費用の低減を可能とする。
以上のようにして、 基板 1 0 1上に導電層 1 1 2〜: I 1 4のパターンを形成するこ とができる。 なお、 導電層 1 1 2〜1 1 4のパターンは、 ゲート配線 (容量配線) で あれば 5〜5 0 、 ソ一ス配線であれば 5〜2 5 mで作成することが好ましい。 本実施の形態では、 基板 1 0 1上に導電性材料からなるパターンを形成する一態様を 例示したが、 本発明はこれに限定されず、 半導体集積回路の配線形成工程、 液晶パネ ルゃ E Lパネルを構成する T F T基板の配線形成工程など様々な分野に すること ができる。 すなわち、 本発明は本実施の形態における例示に限定されず、 酸化シリコ ンゃァクリル樹脂などの絶縁膜、 多結晶シリコンや非晶質シリコンなどの半導体のパ ターンを形成する場合にも適用することができる。 (実施の形態 2 )
本発明の実施の形態について図面を用いて説明する。 本実施の形態では、 上述した プラズマ処理装置を用いて、 選択的にエッチング処理を行って開孔 (コンタクトホ一 ル) を作製する形態について説明する。
図 6 (A) において、 基板 1 0 1上に公知の方法により半導体層 (又は導電層、 配 線層) 1 2 5、 該半導体層 1 2 5上に絶縁膜 1 2 6を形成する。 そして、 絶縁膜 1 2 6上には、 開孔を形成する箇所以外にレジストパターン 1 2 7、 1 2 8を形成する。 この状態になったら、 プラズマ供給手段 1 2により、 エッチング処理を行う。 そうす ると、 図 6 (B) に示すように、 半導体層 1 2 5に達するコンタクトホール 1 2 9を 形成することができる。 このコンタクトホールは、 プラズマ供給手段 1 2の径や、 用 いる表示パネルの解像度にも依存するが、 約 2 . 5〜3 0 xmである。
本発明では、 前記エッチング処理は、 大気圧又は大気圧近傍下で、 線状に配置され た複数のプラズマ発生手段を走査して行い、 前記複数のプラズマ供給手段から選択さ れた一つ又は複数にのみプラズマが発生することを特徴とする。 本処理には、 真空設 備を必要としないために、 生産性の向上や、 作製費用の低減を可能とする。 また、 線 状に配置された複数のプラズマ発生手段を用いることで、 タクトタイムの点で有利と なり、 好ましくは、 基板の一辺と同じ長さとなるように線状に複数のプラズマ発生手 段を配置すると、 一回の走査で処理を終わらせることができる。 なお走査方向は、 基 板の一辺と平行な方向に限らず、 斜め方向に走査してもよい。
また線状に配置された複数のプラズマ発生手段のうち、 全てのプラズマ発生手段か ら反応ガスを供給する必要はなく、 目的のボイントのみに所定のガス流を供給すれば 処理を行うことができる。 従って、 全てのプラズマ供給手段に対して反応ガスを供給 する必要がない本発明は、 ガスの禾幌効率を向上させて、 作製費用の低減を可能とす る。
また、 図 6 (C) 〜図 6 (E) に本発明の他の一例を示す。 インクジェット法 (液滴噴射法) によって層間絶縁膜をアイランド状に選択的に形 成した後、 プラズマ処理を選択的に行って、 前記層間騰膜の形状を整えることで、 コンタクトホールを有する層間絶縁膜を形成するものである。 本発明は、 層間絶縁膜 をインクジエツト法で形成することを特徴とする。
まず、 図 6 (A) と同様に、 基板 1 0 1上に形成した半導体層または配線層 (導電 層) 1 2 5を形成する。 ここでは金属からなる配線層 1 2 5を例に説明する。 インク ジェット法により、 高分子材料 (代表的にはポリイミド、 アクリル、 ベンゾシクロブ テンなど) を含む溶液を、 基板 1 0 1の所定の位置に噴射塗布し、 焼成を行って溶媒 を取り除き、 層 1 3 0 aを形成する (図 6 (C))。 この工程を経て、 配線層 1 2 5の一部を露呈させる。 露呈させた部分は後にコンタクトホールとなる箇所である。 なお、 層間絶縁膜として機能させるためにはある程度 が必要であるので、 噴射塗 布と仮焼成 (または焼成) を繰り返すことによって所望の J»を得てもよい。
また、 纖層 1 3 0 aの材料としては、 感光性または非感光性の有機材料 (ポリイ ミド、 アクリル、 ポリアミド、 ポリイミドアミド、 レジストまたはべンゾシクロブテ ン)、 またはこれらの積層などを ¾!:用いることができる。 また、絶縁層 1 3 0 aとし て、 感光性の光によってエツチャントに不溶解性となるネガ型、 或いは光によってェ ッチャントに溶解性となるポジ型のいずれも使用することができる。
本発明は、 スピンコート法のように基板全面に塗布を行わないため、 大幅に材料を 節約することができる。
次いで、 図 6 (D) に示すようにプラズマ供給手段 (ノズル) 1 2を用いたプラズ マ処理によって、 絶縁層 1 3 0 aの端部を選択的にエッチングして、 前記絶縁層 1 3 0 aにコンタクトホールを形成する。 このエッチングは、 絶縁層 1 3 0 aの形状を整 える処理にもなる。 予め 層1 3 0 aに開いている穴を拡大することによってコン タクトホールが形成され、 騰層 1 3 0 bが形成される。 従来のフォトリソ技術のェ ツチングに比べエッチングする部分が少ないため、 短時間でコンタクトホールを形成 することができる。 本発明は、 レジストマスクを用いてエッチングを行わないため、 レジスト形成プロセスを省略できる。
また、 同時に配線層 1 2 5の露呈している部分に不純物などのゴミが存在していた 場合、 そのゴミを除去することもできる。 また、 配線層 1 2 5の露呈している部分に 自然酸化膜が形成された場合、 自然酸化膜も除去できる。
次いで、図 6 (E)に示すように配線 1 3 1を形成する。なお、絶縁層 1 3 0 bは、 層間 «膜として機能することとなる。 インクジェット法により配線を形成すれば、 マスクレスのプロセスとすることができ、量産に適したプロセスとすることができる。 本実施の形態は、 上記の実施の形態と自由に組み合わせることが可能である。
(実施の形態 3 )
まず本発明の特徴として、 大気圧又は大気圧近傍下でエッチング処理又はアツシン グ処理を行うことが挙げられる。 そこで、 図面を用いて、 本発明において用いられる プラズマ処理装置の一例について説明する。
図 1 7 (A) において、 プラズマ供給手段は- ガラス又は石英ガラスによって形成 されたノズル 9 2を有する。 そして、 ノズル 9 2の下部には、 高周波電源 8 9に接続 した第 1の電極 (高周波電極) 8 8と、 接地された第 2の電極 (接地電極) 8 7とが 対向して配置してあり、 この第 1の電極 8 8と第 2の電極 8 7との間に高周波電圧が 印加される。 ノズル 9 2には、 ガス供給手段 (ガスボンベ) 8 5が、 ノ t>レブ 8 6を介して接続さ れている。このガス供給手段 8 5には、パルプ 8 6を介して所定のガスが供給される。 ノズル 9 2の下方には、 ステンレス板などからなるステージ 9 1が配設してあり、 こ のステージ 9 1の上面には、 プラズマ化されたガス流が照射される被処理物 9 0が 置される。
そして、 例えば希ガスに適当量の酸素ガス又は四フッ化炭素ガス、 若しくは酸素ガ スと四フッ化炭素ガスとを添カ卩して、 これを放電ガスとして、 大気圧状態でノズル 9 2に供給するとともに、 第 1の電極 8 8に高周波電圧を印加する。 そうすると、 両電 極間には、 プラズマが発生する。 そして、 このプラズマにより生成されるイオン、 ラ ジカルなどの化学的に活性な励起種を含む反応性ガス流を被処理物 9 0の表面に向け て照射すると、 該被処理物 9 0の表面において所定の表面処理を行うことができる。 次いで、 図 1 7 (A) に示したプラズマ処理装置の斜視図を図 1 7 (B) に示す。 ノズル 9 2は、 並行に対向して配置してあり、 間隙にガス流路を形成している。 そし て、 ノズル 9 2の長手方向に沿って、 高周波電源 8 9に接続する第 1の電極 8 8 (図 示せず) と、 第 1の電極 8 8と対向するように第 2の電極 8 7が己設してある。 ノズ ル 9 2の下端には、 ノズル 9 2と直交するフィン板 9 4、 9 5が設けてある。 なお、 ノズル 9 2の上部には、 ガス流路に沿つて複数の供給孔を有し-, ガス流路に放電ガス を均一に供給するためのガス制御手段 (図示せず) が設けられている。 また、 ガス流 路の側部は、 側板 (図示せず) によって塞いであり、 ガス流路において生成された反 応ガス流は、 ガス流路の下方からのみ噴射できるようになつている。
上記構成を有する、 本発明において用いるプラズマ処理装置は、 線状の放電を発生 させることができ、 この放電によって生成したプラズマによる反応ガス流を、 被処理 物 9 0に照射することにより、 所定のアツシング処理又はエッチング処理を行うこと ができる。
また、 上記の図 1 7とは異なる構成のプラズマ処理装置について、 図面を用いて説 明する。 図 1 8 (A) は本発明に係るプラズマ処理装置の上面図であり、 図 1 8 (B) は断面図である。 図 1 8 (A)、 (B) において、 カセット室 2 l aには、 表面処理が 行われるガラス基板、 樹脂基板、 半導体基板等の被処理物 1 2 aがセットされる。 被 処理物 1 2 aとしては、 所望のサイズの基板が用いられる。 なおカセット室 2 1 aに セットされる基板には、 洗浄などの前処理をあらかじめ行っておくことが好ましい。
2 2 aは搬送室であり、 搬送機構 2 0 a (例えばロボットアーム) により、 カセッ ト室 2 1 aに配置された被処理物 1 2 aを、 プラズマ処理室 2 3 aに搬送する。 被処 理物 1 2 aの搬送方式としては、 水平搬送が挙げられるが、 被処理物 1 2 aとして第 5世代以降の基板を用いる場合には、 搬送機の占有面積の低減を目的として、 基板を 縦置きにした縦形搬送を行ってもよい。 搬送室 2 2 aに隣接するプラズマ処理室 2 3 aには、 防塵のために外気を遮断するように空気の流れをつくり、 且つ被処理物 1 2 aの搬送も行う気流制御手段 1 8 a、 加熱手段 1 9及びプラズマ発生手段 2 5が設け られる。 加熱手段 1 9は、 八ロゲンランプ等の公知の加熱手段を用いればよく、 被処 理物 1 2 aの下面から加熱する。 1 8 aは気流制御手段、 2 6はガスの吹き出し口で あり ガス供給手段 2 9から供給される不活性ガスなどの搬送用ガスを用いて気流の 制御を行う。 本発明において用いるプラズマ処理装置は、 大気圧又は大気圧近傍下で 動作させるため、 気流制御手段 1 8 aにより、 プラズマ発生手段 2 5付近の気流を制 御することのみで、 外部からの汚染や反応生成物の逆流を防止することができる。 つ まり、 外界との分離はこの気流制御手段 1 8 aのみで行うことも可能であり、 プラズ マ処理室 2 3 aを完全に密閉する必要がない。 また本発明は、 減圧装置に必要である 真空引きや大気開放の時間が必要なく、 複雑な真空系を配置する必要がない。
また、ガス供給手段 2 9から供給されるガスは、加熱手段 2 8により所望の温度(例 えば 5 0度〜 8 0 0度) に加熱され、 この加熱されたガスを被処理物 1 2 aに吹き付 けることで、 被処理物 1 2 aを加熱する。 加熱手段 2 8は、 気体を加熱できるもので あれば、 特に限定されず、 公知のものを用いればよい。 本発明では、 加熱されたガス を被処理物 1 2 aの上面に吹き付けて加熱し、 さらに、 加熱手段 1 9により被処理物 1 2 aの下面を加熱する。 このように、 被処理物 1 2 aの両面を加熱することで、 当 該被処理物 1 2 aを均一に加熱する。 また、 ガス供給手段 2 9から供給される搬送用 ガスには、 不活性ガスを用いればよい。
プラズマ発生手段 2 5は、第 1の電極 1 3 a及び第 2の電極 1 4 aにより構成され、 高周波電源 1 7 a、排気系、 ガス供給手段などに接続される (図 1 8 )。 プラズマ処理 室 2 3 aにおいて、 所定の表面処理が終了した被処理物 1 2 aは、 搬送室 2 4に搬送 され、 この搬送室 2 4から別の処理室に搬送される。
なお、 第 1の電極 1 3 a及び第 2の電極 1 aの一方又は両方は、 固体誘電体で覆 うとよい。 固体誘電体としては、 酸化アルミニウム、 二酸化ジルコニウム及び二酸化 チタン等の金属酸化物、 ポリエチレンテレフタラート及びポリテトラフルォロェチレ ン等の有機物、 酸化珪素、 ガラス及びチタン酸バリウム等の酸化物等が挙げられる。 固体誘電体の厚さは、 0. 0 5〜 4mmであることが好ましい。 これは、 放電プラス、 マを発生するのに高電圧を要するため、 固体誘電体が薄すぎると、 電圧印可時に絶縁 破壊が起こって、 アーク放電が発生してしまうからである。
次いで、 プラズマ発生手段 2 5の詳細な構成について、 図 1 9の断面図を用いて説 明する。図 1 9における点線は、ガスの経路を示す。 1 3 a、 1 4 aはアルミニウム、 銅、 ステンレスなどの導電性を有する金属からなる電極であり、 第 1の電極 1 3 aは 電源 (高周波電源) 1 7 aに接続されている。 なお第 1の電極 1 3 aには、 冷却水を 循環させるための冷却系 (図示せず) が接続されていてもよい。 冷却系を設けること によって、 冷却水の循環により連続的に表面処理を行う場合の加熱を防止して、 連続 処理による効率の向上が可能となる。 第 2の電極 1 4 aは、 第 1の電極 1 3 aの周囲 を取り囲む形状を有し、 電気的に接地されている。 そして、 第 1の電極 1 3 aと第 2 の電極 1 4 aは、 その先端にノズル状のガスの供給口を有する円筒状を有する。 この 第 1の電極 1 3 aと第 2の電極 1 4 aの両電極間の空間には、 加熱手段 2 8により加 熱されたガスが供給される。 そうすると、 この空間の雰囲気は置換され、 この状態で 高周波電源 1 7 aにより第 1の電極 1 3 aに高周波電圧 (例えば 1 0〜5 Ό 0 MH z ) が印加されて、 前記空間内にプラズマ 1 1が発生する。 このプラズマ 1 1により生成 されるイオン、 ラジカルなどの化学的に活性な励起種を含む反応性ガス流を被処理物 1 2 aの表面に向けて照射することによって、 該被処理物 1 2 aの表面における薄膜 の形成や洗浄などの表面処理を行う。
また図 1 9中、 2 7はバルブ、 2 8は加熱手段、 2 9、 3 0 a, 3 1 aはガス供給 手段、 3 2は排気ガス、 3 3はフィルタである。 加熱手段 2 8は、 ガス供給手段 9、 3 0 a , 3 1 aより供給されるガスを所望の温度 (例えば 5 0〜8 0 0度) になるま で加熱する。 なお、 2 9は搬送用ガスのガス供給手段、 3 0 aは精製ガスのガス供給 手段、 3 1 aはプロセス用ガスのガス供給手段である。 搬送用ガスは、 不活性ガスな どの処理室内で行う表面処理に影響を及ぼすことがないガスを用いる。 また、 プロセ ス用ガスは、 処理室内で行う表面処理の種類に合わせて適宜設定する。 お気ガス 3 2 は、 ノ レブ 2 7を介して、 フィルタ 2 8に導入される。 フィルタ 2 8では、 お気ガス に混入したゴミを除去する。 そして、 フィルタ 3 3により精製されたガスは再び精製 ガスのガス供給手段 3 0 aに導入されて、 再度プロセス用ガスとして用いられる。 また上述したように、 気流制御手段 1 8 aから斜め方向と垂直方向に吹き付けられ るガスと両電極間の空間からのガスにより、 被処理物 1 2 aは、 水平に浮上して、 非 接触状態で進行方向に搬送される。 電極付近では、 ガスは上向きに吹き出し、 このガ スにより被処理物 1 2 aは浮上する。 また気流制御手段 1 8 a付近では、 ガスの吹き 付けとガスの吸引を同時に行って、 被処理物 1 2 aが浮上する高さを制御する。 さら に、 バルブ 2 7を用いて、 被処理物 1 2 aの水平精度をガスの流量により調整し、 被 処理物 1 2 aと第 1及び第 2の電極 1 3 a、 1 4 aとの距離を精密に調整する。 本構 成により、 搬送が困難である大型で薄い被処理物 1 2 aに対しても、 歪んだり、 そり が生じたり、 最悪の場合割れたりする事態を防止する。
また上記の図 1 8とは異なり、 図 2 0 (A) (B) に示すように、気流制御手段 1 8 と機械式の口ポットァ一ム (搬送機構) 5 1を用いて、 被処理物 1 2 aを搬送しても よい。そうすると、被処理物 1 2 aを進行方向に水平に搬送することができる。また、 ロポットアーム 5 1ではなく、 図 2 0 (C) に示すように、 被処理物 1 2 aの進行方 向にレール 5 3を設置して、 そのレール 5 3を走行する台車 5 2を用いて、 被処理物 1 2 aを水平に搬送してもよい。 (実施例)
〔実施例 1〕
本発明の実施例について、 図面を用いて説明する 図 7は、 液滴噴射法を用いた液滴噴射装置を示す。 前記装置を用いて、 基板 2 1 5 上に所望のレジストパ夕一ンを形成する際は、 ヘッド (インクヘッド) 2 0 1力 組 成物を噴射する周期と、 基板 2 1 5の移動速度を調節する。 なおヘッド 2 0 1に隣接 して、 組成物の平滑化手段として気体を噴出するノズル 2 0 2を具備してもよい。 こ のノズル 2 0 2から噴出する気体により、 基板 2 1 5上に噴射された組成物を平滑化 する。 つまり、 へッド 2 0 1と基板 2 1 5の間の距離を維持しつつ、 前記へッド 2 0 1又は前記基板 2 1 5を動かすことで、 線状のパターンが形成されるが、 このとき、 ノズル 2 0 2から気体を噴出させて、前記パターンを平滑化することができる。また、 噴射した組成物の着弾位置の精度を高めるために、 へッド 2 0 1と基板 2 1 5との間 隔を 1ミリ以下に近づけることが好ましい。 そのためには、 ヘッド 2 0 1が上下に動 く移動機構 2 0 とその制御手段 2 0 3を設け、 パターン形成時のみ、 へッド 2 0 1 を基板 2 1 5に近づける構成とする。
その他、 前記装置は、 基板 2 1 5を固定し 方向に可動して、 基板 2 1 5を固 定する基板ステージ 2 0 5、 ヘッド 2 0 1に組成物を供給する手段 2 0 6、 ノズル 2 0 2に気体を供給する手段 2 0 7などから構成される。 筐体 2 1 0はへッド 2 0 1、 基板ステージ 2 0 5等を覆う。 また 前記装置を用いる際は、 ガス供給手段 2 0 8と 筐体 2 1 0内に設けられたシャヮ一へッド 2 0 9により、 組成物の溶媒と同じ気体を 供給して雰囲気を置換しておくと 乾燥をある程度防止することができ、 長時間印刷 を続けることができる。 その他付随する要素として、 処理する基板 2 1 5を保持する キャリア 2 1 2、 そのキヤリア 2 1 2から搬出入させる搬送手段 2 1 1、 清浄な空気 を送り出し作業領域の埃を低減するクリーンユニット 2 1 3などを備えても良い。 図 5 (D) (E) は、 ヘッド 1 0 3の断面図を示しており、 該図面を用いて、 ヘッド 1 0 3から組成物を噴射する 2つの方法を説明する。 図 5 (D) (E) において、 1 2 1は組成物、 1 2 2はヘッドである。 まず、 第 1の方法として、 図 5 (D) には、 へ ッド 1 0 3から組成物 1 2 1の噴射が停止することなく、 つまり、 組成物 1 2 1を連 続的に噴射してパターンを形成する方法を適用した場合を示す。 また第 2の方法とし て、 図 5 (E) には、 ヘッド 1 0 3から組成物 1 2 1を滴下してパターンを形成する 方法を ilfflした場合を示す。 本発明では、 どちらの方法を用いてもよい。
次いで、 主に導電層を成膜する成膜室 2 2 5、 図 7の装置が組み込まれた液滴噴射 処理室 2 2 7、 レーザー照射室 2 2 8、 露光用処理室 2 2 5、 洗浄室 2 3 8及びブラ ズマ処理室 2 3 7を順に通過するフローを示す。
つまり、 半導体装置の作製する際に通過する各処理室について、 図 8を用いて説明 する。
各処理室には、 必要に応じて排気ポンプを設ける。 排気ポンプとしては、 油回転ポ ンプ、 メカニカルブースタ一ポンプ、 ターボ分子ポンプ若しくはクライオポンプを用 いることが可能であるが、 水分の除去に効果的なクライォポンプが好ましい。
成膜室 2 2 5は、 主に導電性材料を用いて、 C VD法、 蒸着法又はスパッ夕法を用 いて局所的に選択加工を行う。 つまり、後述するスパッタ装置(図 9 )、蒸着装置(図 1 0 ) などが本成膜室 2 2 5に設けられる。
液滴噴射処理室 2 2 7は、 レジストパターンの形成を行うことを特徴とする。 液滴 噴射処理室 2 2 7は、 上述した図 7に示す構成になっており、 図 5 (B) (C) に示し た 1個又は複数個のヘッドが設けられている。 そして、 ヘッド又は基板を走査するこ とで、 レジストパターンの形成を行う。
レーザ一照射室 2 2 8は、 加熱処理などの用途に用いる。 基板を載置して、 該基板 の位置を制御する位置制御手段、 レーザー発振装置 2 3 0、 光学系 2 2 9、 中央演算 処理装置及びメモリ等の記憶手段を兼ね備えたコンピュータ等を有する。
露光用処理室 2 2 5は、 液滴噴射処理室 2 2 7にてレジス卜パターンを形成後、 露 光処理を行う際に用いる。 露光処理室 2 2 5には、 レジストパターンに感光剤の感光 波長域の光を照射する為の処理ュニット 2 3 9が備えられている。 感光剤の感光波長 域の光としては、 感光剤にもよるが、 一般的には波長 3 5 0〜4 5 0 nmの光が必要 である。 当該波長域を満足する光源としては、 多波長光の等倍投影露光装置や単波長 光の等倍投影露光装置の光源として一般的に使用されている超高圧水銀灯が好適な一 例として挙げられ、超高圧水銀灯のスぺクトル光である g線 (4 3 6 nm)と h線 (4 0 5 nm) と i線 ( 3 6 5 nm) とから成る多波長光を照射する構成となっている。 これには、 光学フィル夕と、 光源の超高圧水銀灯と超高圧水銀灯 4 0 5に電力を供給 する為の電力供給ラインなどから構成される。 光学フィルタとしては、 吸収フィルタ や薄膜干渉フィルタが挙げられ、 これらの吸収フィルタや薄膜干渉フィルタを適切に 積層して、 g線 (4 3 6 nm) と h線 (4 0 5 nm) と i線 (3 6 5 nm) とから成 る多波長光を分光透過する。 尚、 光照射の処理時間は、 露光装置での露光時間の様に 厳密なものではないが、 レジストパターンの軟化形状に影響する為、 所定時間の光照 射処理が行われる装置構成が必要である。 この様な装置構成としては、 図示してない が、 シャッター機構を設けるとか、 所定時間の間のみ超高圧水銀灯への電力供給を行 う機構を設ける等の手段が考えられる。
洗浄室 2 3 8は、 スピン塗布方式の処理室であり、 I P Aや純水を供給して剥離後 のリンス処理を行う。 なお、 本発明は、 実施の形態 1、 3において上記のプラズマ処 理装置により、 大気圧又は大気圧近傍下でレジス卜をアツシングして除去することを 特徴としているが、 工程によっては、 洗浄室 2 3 8のようなスピン塗 «式の処理室 において、 レジスト剥離液を供給して、 レジストの除去を行ってもよい。 プラズマ処 理室 2 3 7では、大気圧又は大気圧近傍下でエッチング処理、アツシング処理を行う。 本発明は、 大気圧又は大気圧近傍下で動作する装置を用いるため、 液滴噴射用処理 室 2 2 7、 プラズマ処理室 2 3 7、 薄膜を成膜する処理室、 液滴を噴射するへッドを 移動する移動手段などを一度に備えた製造装置を提供することができる。 このような 構成の製造装置により、 インライン処理がより容易にできるようになり、 製造ライン の省スペース化、 効率化が図れる。
本実施例は、 上記の実施の形態と自由に組み合わせることが可能である。
〔実施例 2〕
本発明の実施例について、 図面を用いて説明する。
図 9は、 マグネトロン方式のスパッタリング装置の一例を示す。 当該装置は、 被処 理物 (基板) の取り出しを行う搬送口 (取り出し口) 3 2 2を備えた成膜室 3 1 1を 有する。 成膜室 3 1 1内にはターゲット 3 1 7が設けられており、 パッキングプレー トを介して冷媒 3 1 9により冷却 (水冷) される。 永久磁石 3 1 8はターゲット面と 平行な方向に円運動又は直線運動することにより対向する基板表面に の均一性の よい被膜の形成を可能とする。 シャッター 3 2 3は成膜開始前後に開閉し、 放電初期 においてプラズマが不安定な状態で被膜が形成されるのを防いでいる。
基板 3 1 3とマスク 3 1 4は、 基板ホルダ一 3 2 7及びマスクホルダー 3 2 8を移 動させて、 基板保持手段 3 1 2に設置する。 このとき、 基板 3 1 3とマスク 3 1 4の ァライメントは、 成 Μ¾内に設けられた C CDカメラ 3 1 6を用いて行うとよい。 ま た、 基板保持手段 3 1 2には磁 1生体 (磁石) 3 1 5が設けられており、 前記磁性体 3 1 5により、 基板 3 1 3とマスク 3 1 4が固定される。 このとき、 基板 3 1 3とマス ク 3 1 4が接しないように、 スぺ一サを設けて、 一定のギャップ (高さ) を保持して もよい。 また、 ターゲット 3 1 7を保持する手段は、 夕一ゲット 3 1 7を上下させる 手段 3 2 6を有し、 成膜時に基板 3 1 3と前記夕ーゲット 3 1 7との距離を制御する ことができる。 もちろん基板保持手段 3 1 2に、 基板 3 1 3を上下させる手段を設置 し、 成膜時に基板 3 1 3と夕一ゲット 3 1 7との距離を制御しても構わない。
更に、 基板保持手段 3 1 2に、 加熱手段としてシーズヒーターを埋め込み、 加熱さ れた希ガス(アルゴンガス)を基板 3 1 3の裏側から導入して均熱性を高めるとよい。 また、 成醒 3 1 1には、 ガス導入手段 3 2 1力ゝら、 希ガスや酸素ガスが導入され、 コンダクタンスバルブ 3 2 5により制御される整流板 3 2 4は、 成膜室 3 1 1内での スパッタリングガスの流れを整流する目的で設けられる。 夕一ゲット 3 1 7には高周 波電源 3 2 0が接続される。
次いで、 スパッタ法により導電膜を成膜するときに用いるマスク 3 3 0の例を図 9 (B) に示す。 マスク 3 1 4は、 マスクパターン 3 3 1をスリット状に有する。 マス クパターン 3 3 1は、 画素部に配置される信号線の形成用には、 5〜2 0 といつ た幅の狭いパターンを設けたり、 引き回し配線の形成用には 1 5 0〜1 0 0 0 と いった幅の広いパターンを設けたりして その用途に応じて適宜設定する。
なおマスク 3 1 4には、 補強を目的として補助配線がスリットに平行して設けられ ていてもよい。 この補助配線の幅、 長さ及び己置箇所は成膜時の障害とならないよう に ¾1 [設定すればよい。 このような補助配線を用いると、 成膜領域の幅がばらついた り、 蛇行したりすることを防止する。 このようなマスク 3 1 4は、 ニッケル、 白金、 銅、 ステンレス又は石英ガラスなどから形成され、 金属材料から形成されるマスクは メタルマスクとよぶ。 成膜する配線の幅にもよるが、 マスク 3 1 4は、 5〜2 5 ^m 程度の厚みを有するように形成するとよい。
本発明は、 基板 3 1 3と重なるようにマスク 3 1 4を配置して、 基板 3 1 3上に選 択的に薄膜を成膜することを特徴とする。 より詳しくは、 希ガスを含む雰囲気中で高 周波電力を印加して、 スパッタリング法により、 所望の形状の薄膜を成膜する。 この ようにマスク 3 1 4を配置して、 所望の形状の薄膜を形成する場合、 材料の利用効率 は向上しないが、 後のエッチング処理工程においては、 レジストパターンに被覆され た箇所以外の領域の薄膜をエッチング処理する必要がなく、 所望の箇所のみをエッチ ング処理すればよい。そのため、エッチング処理時に使用するガスの無駄が削減され、 ガスの利用効率は上昇する。
本実施例は、 上記の実施の形態、 実施例と自由に組み合わせることが可能である。
〔実施例 3〕
本発明の実施例について、 図面を用いて説明する。
図 1 0は、 蒸着装置の一例を示す。 図 1 0 (A) において、 3 5 0は試料ポー卜、 3 5 1は材料である。 試料ボー卜 3 5 0に入っている材料は、 電極 (図示せず) によ る抵抗加熱により気化され放出される。 この際、 放出された材料は、 導電性材料から なるマスク 3 4 3の隙間を通過した後、 基板 3 4 0上に付着する。 マスク 3 4 3は、 図 9 (B) を用いて上述したように、 銅、 鉄、 アルミニウム、 タンタル、 チタン、 夕 ングステンといった導電性材料により構成される。 - なお本実施例では、 蒸着源として抵抗加熱を例にとったが、 電子ビーム (E B) 加 熱であっても構わない。 また蒸着時に材料を負に帯電させても、 正に帯電させてもど ちらでよい。
図 10 (B) は、 図 10 (A) とは異なる、 通電加熱型の蒸着装置の一例を示した 図である。 370はフィラメント、 371は前記フィラメント 370が発する温度に 耐えうる材料 (例えば石英など) により形成されたるつぼであり、 例えばステンレス により形成される。 そして、 粉体にした材料をるつぼ 371に入れた後、 フイラメン ト 370を通電加熱して、 当該材料を原子あるいは分子状にして蒸発させ、 原子ある いは分子状にした材料を基板 372に付着させて薄膜を作成する。 なお図 10 (B) には円錐かご型のフィラメントを図示したが、 目的に合わせて適宜変更すればよく、 例えば U字型のフィラメントを用いてもよい。
図 10 (B) に示す蒸着装置の場合には、 メタルマスクを必ずしも用いる必要はな く、 蒸発源が供給される細口を小さくして、 るつぼ 371又は基板 372を走査する ことで、 選択的に薄膜を成膜することができる。
本実施例は、 上記の実施の形態、 実施例と自由に組み合わせることが可能である。
〔実施例 4〕
本実施例では、 図 11を用いて、 アクティブマトリクス型の液晶表示装置の作製ェ 程を以下に示す。
最初に、透光性を有する基板 600を用いてァクティブマトリクス基板を作製する。 基板 600の基板サイズとしては、 60 OmmX 72 Omm、 68 OmmX 880m m、 100 OmmX 120 Omm, 110 OmmX 125 Omm, 115 OmmX 1 30 Omm, 150 OmmX 180 Omm、 180 OmmX 200 Omm, 2000 mmX 2100mm、 220 OmmX 2600mm、 又は 260 OmmX 3100m mのような大面積基板を用いて、 製造コストを削減することが好ましい。 例えば、 コ 一二ング社の # 7059ガラスや # 1737ガラスなどに代表されるバリウムホウケ ィ酸ガラスやアルミノホウケィ酸ガラスなどのガラス基板を用いることができる。 更 に他の基板として、 石英基板、 プラスチック基板などの透光性基板を用いることがで さる。
なお、 ァクティブマ卜リクス基板とは、 基板上に薄膜トランジス夕等の素子が形成 された基板に相当する。
なお画素ピッチは、 縦の長さ及び横の長さ共に 50〜 750 mとしたデザインル —ルで作成することが好ましい。
まず、 スパッタ法を用いて、 »表面を有する基板 600上に、 導電層を全面又は 選択的に形成した後、 液滴噴射法によりレジストマスクを形成し、 エッチングにより 不要な部分を除去して、 配線及び電極 (ゲ一卜電極、 保持容量配線、 及び端子など) を形成する。 なお、 必要があれば、 基板 600上に下地絶縁膜を形成する。
なお、 以下の工程において、 エッチング処理やレジストを除去するアツシング処理 を行う工程では、 上述した、 大気圧又は大気圧近傍下で動作するプラズマ処理装置を 用いてもよい。 複雑な真空系が必要ない前記プラズマ処理装置を用いると、 コストの 低減が可能となる。
上記の配線及び電極の材料としては、 T i、 Ta、 W、 Mo、 Cr、 Ndから選ば れた元素、 前記元素を成分とする合金、 または前記元素を成分とする窒化物で形成す る。 さらに、 Ti、 Ta、 W、 Mo、 Cr、 Ndから選ばれた元素、 前記元素を成分 とする合金、 または前記元素を成分とする窒化物から複 β択し、 それらを積層形成 することもできる。
なお、 画面サイズが大画面化すると、 それぞれの配線の長さが増加して、 配線抵抗 が高くなる問題が発生し、 消費電力の増大を引き起こす。 よって、 配線抵抗を下げ、 低消費電力を実現するために、 上記の配線及び電極の材料としては、 C u、 A l、 A g、 Au、 F e、 N i、 P tまたはこれらの合金を用いることもできる。
次に、 P C VD法によりゲート絶縁膜を全面に成膜する。 ゲート絶縁膜は窒化シリ コン膜と酸化シリコン膜の積層を用い、 廳を 5 0〜2 0 O nmとし、 好ましくは 1 5 0 nmの厚さで形成する。 尚、 ゲート絶縁膜は積層に限定されるものではなく、 酸 化シリコン膜、 窒化シリコン膜、 酸化窒化シリコン膜、 酸化タンタル膜などの «膜 を用いることもできる。
次に、 ゲ一ト»膜上に、 5 0〜2 0 0 nm、 好ましくは 1 0 0〜1 5 0 nmの膜 厚で第 1の非晶質半導体膜を、 プラズマ CVD法やスパッ夕法などの公知の方法で、 全面に成膜する。 代表的には非晶質シリコン (a— S i ) 膜を 1 0 O nmの膜厚で成 膜する。 なお、 大面積基板に成膜する際、 チャンバ一も大型化する。 その場合、 大型 化したチヤンバ一内を真空にするためには処理時間が増加し、 成膜ガスも大量に必要 となる。 そのため、 大気圧又は大気圧近傍下で動作し、 線状のプラズマ供給手段を有 するプラズマ CVD装置を用いて、 非晶質シリコン膜の成膜を行うとよい。 そうする と、 数回の走査で非晶質シリコン膜の成膜を行うことが可能となり、 さらに所望の箇 所のみに成膜すればよいため、 成膜ガスの削減につながり、 作製コストの低減が可能 となる。
次に、一導電型 (N型または P型) の不純物元素を含有する第 2の非晶質半導体膜 を、 2 0〜 8 0 nmの厚さで成膜する。一導電型を付与する不純物元素を含む第 2の 非晶質半導体膜は、 プラズマ C VD法ゃスパッタ法などの公知の方法で全面に成膜す る。 本実施例ではリンが添加されたシリコンターゲットを用いて、 N型の不純物元素 を含有する第 2の非晶質半導体膜を成膜する。
次に、 液滴噴射法によりレジストマスクを形成し、 エッチングにより不要な部分を 除去して、 島状の第 1の非晶質半導体膜、 および島状の第 2の非晶質半導体膜を形成 する。 この際のェツチング方法としてゥェットエッチングまたはドライエッチングを 用いる。
次に、 島状の第 2の非晶質半導体膜を覆う導電層をスパッ夕法で形成した後、 液滴 噴射法によりレジストマスクを形成し、 Xッチングにより不要な部分を除去して、 配 線及び電極 (ソース配線、 ドレイン電極、 容量電極など) を形成する。 上記の配線及 び電極の材料としては、 A l、 T i、 T a、 W、 M o、 C r、 N d、 C u、 A g、 A u、 C r、 F e、 N i、 P tから選ばれた元素、 または前記元素を成分とする合金で 形成する。
次に、 液滴噴射法によりレジストマスクを形成し、 エッチングにより不要な部分を 除去してソ一ス配線、 ドレイン電極、 容量電極を形成する。 この際のエッチング方法 としてゥエツトエッチングまたはドライエッチングを用いる。 この段階でゲート絶縁 膜と同一材料からなる絶縁膜を誘電体とする保持容量が形成される。 そして、 ソース 配線、 ドレイン電極をマスクとして、 自己整合的に第 2の非晶質半導体膜の一部を除 去し、 さらに第 1の非晶質半導体艇の一部を薄膜化する。 薄膜化された領域は T F T のチヤネル形成領域となる。
次に、 プラズマ CVD法により 1 5 0 nm厚の窒化シリコン膜からなる保護膜と、 1 5 O nm厚の酸化窒化シリコン膜から成る第 1の層間絶縁膜を全面に成膜する。 な お、 大面積基板に成膜する際、 チャンバ一も大型化する。 その場合、 大型化したチヤ ンバー内を真空にするために処理時間が増加し、 成膜ガスも大量に必要となる。 その ため、 大気圧又は大気圧近傍下で動作し、 線状のプラズマ供給手段を有するプラズマ CVD装置を用いて、非晶質シリコン膜の成膜を行うとよい。この後、水素ィ匕を行い、 チャネルェツチ型の T F Tが作製される。
なお、 本実施例では T F T構造としてチャネルエッチ型とした例を示したが、 T F T構造は特に限定されず、チヤネルストッパー型の T F T、トツプゲート型の T F T、 或いは順ス夕ガ型の T F Tとしてもよい。
次に、 液滴噴射法によりレジストマスクを形成して、 その後ドライェツチング工程 により、 ドレイン電極や容量電極に達するコンタクトホールを形成する。 また、 同時 にゲート配線と端子部を電気的に接続するためのコンタクトホール (図示せず) を端 子部分に形成し、 ゲート配線と 部を電気的に接続する金属配線 (図示せず) を形 成してもよい。 また、 同時にソ一ス配線に達するコンタクトホール (図示せず) を形 成し、 ソース配線に接続する金属配線を形成してもよい。 これらの金属配線を形成し た後に、 I TO (インジウムスズ酸化物) 等の画素電極を形成してもよいし、 I TO 等の画素電極を形成した後に、 これらの金属配線を形成してもよい。
次に、 I T〇、 I η 203 - Ζ η Ο (酸化ィンジゥム酸化亜鉛合金)、 Z n O (酸化 亜鉛) 等の透明電極膜を、 1 1 O nmの厚さで成膜する。 その後、 液滴噴射法により レジストパターンを形成する工程と、 エッチング工程とを行うことにより、 画素電極 6 0 1を形成する。
以上の工程を経て、 逆ス夕ガ型の T F T及び保持容量からなる画素部と、 端子部と で構成されたァクティブマトリクス基板を作製することができる。 次いで、 アクティブマトリクス基板上に、 配向膜 6 2 3を形成しラビング処理を行 う。 なお、 本実施例では配向膜 6 2 3を形成する前に、 アクリル樹脂膜等の有機棚旨 膜をパターニングすることによって基板間隔を保持するための柱状のスぺーサ 6 0 2 を所望の位置に形成する。 また、 柱状のスぺ一サ 6 0 2に代えて、 球状のスぺーサを 基板全面に散布してもよい。 配向膜 6 2 3は、 液滴噴射法により作成してもよい。 次いで、 対向基板 6 5 0を用意する。 対向基板 6 5 0には、 着色層、 遮光層が各画 素に対応して配置されたカラ一フィルタ 6 2 0が設けられている。 また、 このカラー フィル夕 6 2 0を覆う平坦化膜 6 5 1を設けている。 次いで、 平坦化膜 6 5 1上に、 透明導電膜からなる対向電極 6 2 1を、 画素部と重なる位置に形成し、 対向基板 6 5 0の全面に配向膜 6 2 2を形成し、 ラビング処理を施す。
そして、 アクティブマトリクス基板上の画素部を囲むようにシール材 6 0 7を描画 した後、 減圧下でシール材 6 0 7に囲まれた領域に液滴噴射法で液晶を噴射する。 次 いで、 大気にふれることなく、 減圧下でァクティブマトリクス基板と対向基板 6 5 0 とを、 シール材 6 0 7で貼り合わせる。 シール材 6 0 7にはフイラ一 (図示せず) が 混入されていて、 このフィラーと柱状スぺ一サ 6 0 2によって均一な間隔を持って、 2枚の基板が貼り合わせられる。 液滴噴射法で液晶を噴射する方法を用いることによ つて、 作製プロセスで使用する液晶の量を削減することができ、 特に、 大面積基板を 用いる場合に大幅なコスト低減を実現することができる。
このようにして、 ァクティブマトリクス型液晶表示装置が完成する。 そして、 必要 があれば、 アクティブマトリクス基板または対向基板を所望の形状に分断する。 さら に、 公知の技術を用いて偏光板 6 0 3等の光学フィルムを難設ける。 そして、 公知 の技術を用いて F P Cを貼りつける。 以上の工程によって得られた液晶モジュールに、 ノ ックライト 604、 導光板 60 5を設け、 カバ一 606で覆えば、 図 11 (A) にその断面図の一部を示したような アクティブマトリクス型液晶表示装置 (¾ 型) が完成する。 なお、 カバーと液晶モ ジュールは接着剤や有機樹脂を用いて固定する。 また、 透過型であるので偏光板 60 3は、 アクティブマトリクス基板と対向基板の両方に貼り付ける。
また、 本実施例は透過型の例を示したが、 特に限定されず、 反射型や半透過型の液 晶表示装置も作製することができる。 反射型の液晶表示装置を得る場合は、 画素電極 として光反射率の高い金属膜、 代表的にはアルミニウムまたは銀を主成分とする材料 膜、 またはそれらの積層膜等を用いればよい。
続いて、 液晶モジュールの上面図を図 11 (B) に示すとともに、 図 11 (B) と 異なる液晶モジュールの上面図を図 11 (C) に示す。
上記の実施例により得られる、 非晶質半導体膜で活性層を形成した T FTは、 電界 効果移動度が小さく 1 cm2ZVs e c程度しか得られない。 そのため、 画像表示を 行うための駆動回路は I Cチップで形成され、 TAB (Tape Au t oma t e d Bond i ng)方式や COG (Ch i p on g 1 a s s )方式で実装する。 図 11 (B) 中、 501はァクティプマトリクス基板、 506は対向基板、 504 は表示部、 505は FPC、 507はシ一ル材である。 本実施例では、 液晶を液滴噴 射法により噴射させ、 一対の基板 501、 506をシ一ル材 507で貼り合わせる。 本実施例により得られる TFTは、 電界効果移動度は小さいが、 大面積基板を用い て量産する場合、 作製プロセスにかかるコストを低減することができる。 液晶を液滴 噴射法により噴射し、 一対の基板を貼り合わせる場合には、 基板サイズに関係なく一 対の基板間に液晶を保持させることができるため、 20インチ〜 80インチの大画面 を有する液晶パネルを備えた表示装置を作製することができる。
また、 公知の結晶化処理を行つて非晶質半導体膜を結晶化させて結晶構造を有する 半導体膜、 代表的にはポリシリコン膜で活性層を構成した場合、 電界効果移動度の高 い T F Tが得られるため、 画素部だけでなく、 CMO S回路を有する駆動回路をも同 一基板上に作製することができる。 また、 駆動回路に加え C P Uなども同一基板上に 作製することができる。ポリシリコン膜からなる活性層を有する T F Tを用いた場合、 図 1 1 (C) のような液晶モジュールを作製することができる。 図 1 1 (C) 中、 5 0 1はァクティブマトリクス基板、 5 0 5は F P C、 5 0 6は対向基板、 5 1 0はソ ースドライバ、 5 0 8、 5 0 9はゲ一トドライバ、 5 0 4は画素部、 5 1 1は第 1シ —ル材、 5 1 2は第 2シール材である。 本実施例では、 液晶を液滴噴射法により噴射 させ、 一対の基板 5 0 1、 5 0 6を第 1シ一ル材 5 1 2及び第 2シ一ル材 5 0 6で貼 り合わせている。 なおドライバ 5 0 8〜5 1 0には液晶は不要であるため、 表示部 5 0 4のみに液晶を保持させており、 第 2シール材 5 1 1はパネル全体の補強のために 設けられている。
なおここでは、液晶表示素子を用いた表示パネルに本発明を删した例を示したが、 発光素子を用いた表示パネルに本発明を適用してもよい。 発光素子は、 一対の電極に 電界発光層 (実際には電子輸送層など様々な種類の層が存在するが、 ここでは総称し て電界発光層とよぶ) が挟まれた構造を有しており、 この電界発光層は、 液滴噴射法 (例えば、インクジエツト法)により作製する方法が既に実用化されている。つまり、 へッドから噴射する組成物を変更するか、 又は組成物が充填されたへッドを交換する と、 連続処理が可能となる。 また、 発光素子は、 自発光型平面ディスプレイであるた め、 バックライトカ坏要であり、 視野角の制限は受けない。 さらに、 コントラストや 応答速度は大幅に優れている。 そのため、 携帯端末だけではなく、 大型の表示装置と して用いることも可能である。
本実施例は、 上記の実施の形態、 実施例と自由に組み合わせることができる。 〔実施例 5〕
本発明の実施例について図面を用いて説明する。 本実施例は、 本発明を用いて、 薄 膜トランジス夕及び容量素子を作製する工程について説明する。 本作製工程の断面図 を図 1 3、 1 4、 上面図を図 1 5に示す。
基板 1 0 1上にゲート電極 (ゲート配線) 9 0 1、 容量電極 (容量配線) 9 0 2を 形成する (図 1 3 (A)、 図 1 5 (A))。基板 1 0 1としては、 ガラスやプラスチック 等を材料とした透明な基板を用いる。 また、 ゲ一ト電極 9 0 1、 容量電極 9 0 2は、 同一の層で形成されており、 ネオジゥム (N d) 等を含有したアルミニウム (A 1 ) とモリブデン (M o) を積層形成した後、 局所的に選択加工を行う。 本実施例では、 選択加工を行うため、 フォトマスクを用いたフォトリソグラフイエ程が必要なく、 作 製工程を大幅に簡斷匕することができる。 なお、 ゲート電極 9 0 1及び、容量電極 9 0 2の材料としては、 ネオジゥム (N d) 等を含有したアルミニウム (A 1 ) の他、 ク ロム (C r ) 等の導電性を有する材料を用いてもよい。
次に、 ゲート電極 9 0 1および、容量電極 9 0 2を覆う絶縁膜 (ゲート絶縁膜) 9 0 3を形成する (図 1 3 (B)、 図 1 5 (B))。絶縁膜 9 0 3としては、窒化珪素膜や酸 化珪素膜等の絶縁膜、 若しくは窒化珪素膜や酸ィ匕珪素膜等を積層した膜を用いる。 続いて、 絶縁膜 9 0 3の上に、 非晶質構造を有する半導体膜 9 0 4を局所的に選択 加工を行って形成する。 本実施の形態では、 選択加工を行うため、 フォトマスクを用 いたフォトリソグラフイエ程が必要なぐ作製工程を大幅に簡斷匕することができる。 次に、 半導体膜 9 0 4のうち、 TF Tのチャネル領域となる部分の上に保護膜 9 0 5を形成する。 保護膜 9 0 5は、 窒化珪素膜等の腿膜を局所的に選択加工を行って 形成する。
次いで、 非晶質半導体を形成し、 その後、 不純物元素である燐を添加して、 N型半 導体膜を形成する (図 1 3 (C)、 図 1 5 (C))。 続いて、 モリブデン (M o) , アル ミニゥム (A 1 )、 モリブデン(Mo)が順に積層した導電膜 9 0 8、 9 0 9を局所的 に選択加工を行って形成する。 そして、 導電膜 9 0 8、 9 0 9をマスクとして、 前記 N型半導体膜をエッチングして、 N型半導体層 9 0 6、 9 0 7を形成する。
次に、 導電膜 9 0 8、 9 0 9の上方に、 窒化珪素膜や酸化珪素膜からなる騰膜 9 1 0を全面に形成する (図 1 3 (D)、 図 1 5 (D))。次いで、 絶縁膜 9 1 0を貫通し て配線 9 0 9に至るコンタクトホールを形成する。 本実施の形態では、 コンタクトホ ールは、 上記の実施の形態 2に示した方法を用いて形成する。
続いて、 画素電極 9 1 1を I TO等の透明な導電膜を局所的に選択加工して形成す る (図 1 5 (E))o
次に、画素電極 9 1 1上に配向膜 9 1 2を形成する (図 1 4)。続いて、配向膜 9 1 5、対向電極 9 1 6及び遮光膜 9 1 7が形成された対向基板 9 1 8を貼り合わせた後., 液晶材料 9 1 3を注入して表示パネルが完成する。 基板 1 0 1と対向基板 9 1 8の間 のギャップは、 スぺ一サ 9 1 4により保持される。
なお、 上記の作製工程では、 全ての工程に局所的に選択加工を行うことで、 フォト マスクを用いたフォトリソグラフイエ程が不必要な場合を示した。 また本実施の形態 では、 所謂チャネルストップ型の T F Tの作製工程を図示した。 しかし本発明はチヤ ネルェッチ型の T F Tの作製工程に適用してもよい。
以上の工程を経て、 トランジスタと容量素子を形成することができる。 本実施の形 態によると、フォトリソグラフイエ程を用いることなぐ作製することが出来るため、 大幅な作製プロセスの削減を実現し、 作製費用の低減を実現することができる。
〔実施例 6〕
本実施例では、 E L素子を有する発光装置の作製手順の一例について、 図 1 6を用い て説明する。
E L素子の発光機構は、 一対の電極間に有機化合物層を挟んで電圧を印加すること により、 仕事関数の小さい材料からなる陰極から注入された電子、 および陽極から注 入された正孔が、 有機化合物層中の発光中心で再結合して分子励起子を形成し、 その 分子励起子が基底状態に戻る際にエネルギーを放出して発光するといわれている。 励 起状態には一重項励起と三重項励起が知られ、 発光はどちらの励起状態を経ても可能 であると考えられている。
このような E L素子をマトリクス状に配置して形成された発光装置には、 パッシブ マトリクス駆動 (単純マトリクス型) と、 画素 (又は 1ドッ卜) 毎にスィッチが設け られているアクティブマトリクス駆動 (アクティブマトリクス型) といった駆動方法 を用いることが可能である。
ここでは、 E L素子のみを作製する例を以下に説明する。
まず、 アクティブマトリクス型の発光装置を作製する場合には、 騰表面を有する 基板 1 5 0上に T F T (図示せず) を作製する。 T F Tは公知の方法で N型 T F Tま たは P型 T F Tを作製すればよい。 次いで、 陽極となる第 1の電極 1 5 1を T F Tの 電極(図示せず)と一部重なるように形成する。ここでは、第 1の電極 151として、 仕事関数の大きい導電膜材料 (ITO、 Ι η203— ΖηΟ、 ΖηΟ等) を用いて、 ィ ンクジエツ卜法で形成する。
次いで、 インクジェット法により、 絶縁材料を含む溶液を選択的に噴射して、 隔壁 ひ ンク、 «物、障壁、土手などと呼ばれる) 152 aを形成する(図 16 (A))。 隔壁 152 aは、 第 1の電極 151の端部、 配線、 および電極を覆い、 各電極間を絶 縁する。 隔壁 152 aの材料としては、 塗布法により得られる感光性または非感光性 の有機材料 (ポリイミド、 アクリル、 ポリアミド、 ポリイミドアミド、 レジストまた はベンゾシクロブテン)、またはこれらの積層体などを 用いることができる。また、 隔壁 152 aとして、 感光性の光によってエツチャントに不溶解性となるネガ型、 或 いは光によってエツチヤントに溶解性となるポジ型のいずれも使用することができる。 次いで、 ノズル 12を用い、 選択的にプラズマ処理を行う (図 16 (B))。 このプ ラズマ処理によって隔壁の形状を整える。 隔壁 152 bの上端部または下端部に曲率 (曲率半径 (0. 2 m〜3 m)) を有する曲面が形成されるようにする。 〇2ブラ ズマで隔壁の形状を整える場合、 第 1の電極の表面改質も 02プラズマで行うと、 ト 一タルの工程数が増えないため好ましい。
次いで、 第 1の電極 (陽極) 151上には、 インクジェット法によって有機化合物 を含む層 153を選択的に形成する。 R、 Bの発光が得られる有機化合物を含む 層を、 それぞれ選択的に形成すればフルカラ一の表示を得ることができる。 さらに、 有機化合物を含む層 153上には第 2の電極 (陰極) 154が形成される酒 16 (C))。 第 2の電極 (陰極) もインクジェット法で形成することが好ましい。 陰極としては、 仕事関数の小さい材料 (Al、 Ag、 L i、 Ca、 またはこれらの合金 Mg Ag、 M g l n、 Al L i、 CaF2、 または CaN) を用いて形成すればよい。 こうして、 第 1の電極 (陽極) 151、 有機化合物を含む層 153、 及び第 2の電極 (陰極) 1
54からなる EL素子が形成される。
次いで、 発光素子を封止するために保護膜 (図示せず) を設けたり、 封止基板 (図 示せず) または封止缶 (図示せず) で密閉したりする。 発光素子を封入することによ り、 発光素子を外部から完全に遮断することができ、 外部から水分や酸素といつた有 機化合物層の劣化を促す物質が侵入することを防ぐことができる。
また、 本実施例では陽極上に有機化合物を含む層が形成され、 有機化合物層上に陰 極が形成される発光素子を有し、 有機化合物を含む層において生じた発光を透明電極 である陽極から TFTの方へ取り出す (以下、 下面出射構造とよぶ) という構造とし た例を示したが、 陽極上に有機化合物を含む層を形成し、 有機化合物を含む層上に透 明電極である陰極を形成するという構造(以下、上面出射構造とよぶ)としてもよい。
〔実施例 7〕
本発明を用いて様々な電気器具を完成させることができる。 その具体例について図
12を用いて説明する。
図 12 (A) は例えば 20〜 80インチの大型の表示部を有する表示装置 (テレビ ジョン受像機、 テレビジョン受信機ともよぶ) であり、 筐体 2001、 支持台 200
2、 表示部 2003、 スピーカ一部 2004、 ビデオ入力端子 2005等を含む。 本 発明は、 表示部 2003の作製に删される。 このような大型の表示装置は、 生産性 ゃコス卜の面から、 第五世代 (1000X 1200ミリ)、 第六世代 (1400X 1
600ミリ) のようなメ一夕角の大型基板を用いて作製することが好適である。 図 1 2 (B) は、 ノート型パーソナルコンピュータであり、 本体 2 2 0 1、 筐体 2 2 0 2、 表示部 2 2 0 3、 キーボード 2 2 0 4、 外部接続ポート 2 2 0 5、 ポインテ ィングマウス 2 2 0 6等を含む。 本発明は、 表示部 2 2 0 3の作製に適用される。 図 1 2 (C) は記録媒体を備えた携帯型の画像再生装置 (具体的には DVD再生装 置) であり、 本体 2 4 0 1、 筐体 2 4 0 2、 表示部 A 2 4 0 3、 表示部 B 2 4 0 4、 記録媒体 (DVD等) 読み込み部 2 4 0 5、 操作キー 2 4 0 6、 スピーカ一部 2 4 0 7等を含む。 表示部 A 2 4 0 3は主として画像情報を表示し、 表示部 B 2 4 0 4は主 として文字情報を表示するが、 本発明は、 これら表示部 A、 B 2 4 0 3 , 2 4 0 4の 作製に適用される。
以上の様に、 本発明の適用範囲は極めて広く、 本発明をあらゆる分野の電気器具の 作製に することが可能である。 また、 上記の実施の形態、 実施例と自由に組み合 わせることができる。
〔実施例 8〕
本発明の実施例について説明する。 より詳しくは、 本発明を適用した薄膜トランジ スタの作製工程について、 図 2 1〜2 3を用いて説明する。
ガラス、 石英及び有機樹脂等なる基板 8 0 0上に、 C VD法 蒸着法又はスパッ夕 リング法により、選択的に導電層 8 0 1、 8 0 2を形成する (図 2 1 (A)参照)。次 に、 導電層 8 0 1、 8 0 2上に、 液滴吐出法により、 マスクとして機能する絶縁層 8 0 3、 8 0 4を形成する (図 2 1 (B)参照)。 つまり、 絶縁体を含む組成物を吐出し て、 麵層 8 0 3、 8 0 4を形成する。 続いて、 大気圧又は大気圧近傍下で、 騰層 8 0 3、 8 0 4をマスクとして、 プラズマ発生手段 8 0 5により、 導電層 8 0 3、 8 04をエッチングして、導電層 806、 807を形成する(図 21 (C)参照)。次に、 大気圧又は大気圧近傍下で、 プラズマ発生手段 805により、 騰層 803、 804 をアツシングする (図 21 (D) 参照)。 つまり、 絶縁層 805を除去する。
その後、 基板 800上に、 導電層 806、 807に接するように、 ゲート絶縁膜と して機能する絶縁層 808、 半導体層 809、 一導電型が付与された半導体層 810 を積層形成する(図 22 (A)参照)。次に、半導体層 810上に、液滴吐出法により、 マスクとして機能する絶縁層 811, 812を形成する。 続いて、 大気圧又は大気圧 近傍下で、 層 811, 812をマスクとして、 プラズマ発生手段 805により、 半導体層 809、 810をエッチングして、 半導体層 813〜816を形成する (図 22 (B)参照)。次に、大気圧又は大気圧近傍下で、プラズマ発生手段 805により、 絶縁層 811, 812をアツシングする。つまり、絶縁層 811、 812を除去する。 次に、 基板 800上に、 半導体層 815, 816に接するように、 CVD法、 蒸着 法又はスパッタリング法により、 選択的に導電層 817〜820を形成する (図 23 (A)参照)。続いて、大気圧又は大気圧近傍下で、導電層 817〜820をマスクと して、 半導体層 815、 816をエッチングする (図 23 (A)参照)。 このとき、 半 導体層 813、 814は、 図示するように、 少しエッチングされる。 以上の工程を経 て、 チャネルエッチ型の薄膜トランジスタが完成する。 この薄膜トランジスタは、 表 示手段や記憶手段を構成要素として用いることができる
本発明は、 第 1に CVD法、 蒸着法又はスパッ夕法により導電層を選択的に形成す る点、 第 2に液滴吐出法によりレジストマスクとして機能する絶縁層を形成する点、 第 3に大気圧下又は大気圧近傍下で、 プラズマ発生手段により、 絶縁層、 半導体層及 び導電層をエッチングする点、 第4に大気圧又は大気圧近傍下で、 プラズマ発生手段 により、 レジストマスクとして機能する絶縁層をアツシングする点の計 4点を特徴と する。 基板上の全面に導電層を形成せず、 基板上に選択的に導電層を形成するという 第 1の特徴により、 材料の利用効率が向上する。 同様に、 基板上の全面にレジストマ スクを形成せず、 基板上に選択的にレジストマスクを形成するという第 2の特徴によ り、 材料の利用効率が向上する。 従って、 第 1と第 2の特徴により、 作製費用の大幅 な低減を実現する。 また、 第 3と第 4の特徴により、 真空設備が不必要であるため、 作製時間の短縮と作製費用の低減を実現する。 さらに、 プラズマ発生手段として、 第 1及び第 2の電極を有し、 前記第 1の電極は、 前記第 2の電極の周囲を取り囲み、 か つ、 その先端にノズル状の前記ガスの供給口を有する円筒状のものを一軸方向に複数 配置したものを用いる場合は、 選択的にガスを供給すればよいため、 ガスの利用効率 の向上を実現する。
[実施例 9]
導電性のパターンを形成するために、 金属微粒子を有機溶媒中に分散させた組成物 を用いている。 金属微粒子は平均粒径が 1〜5 0 nm、 好ましくは 3〜7 nmのもの を用いる。
代表的には、 銀又は金の微粒子であり、 その表面にァミン、 アルコール、 チオールな どの分散剤を被覆したものである。 有機溶媒はフェノ一ル樹脂やエポキシ系樹脂など であり、 熱硬化性又は光硬化性のものを適用している。 この組成物の粘度調整は、 チ キソ剤若しくは希釈溶剤を添加すれば良い。
液滴吐出手段によって、 被形成面に適量吐出された組成物は、 加熱処理により、 又 は光照射処理により有機溶媒を硬化させる。 有機溶媒の硬化に伴う体積収縮で金属微 粒子間は接触し、 融合及ぴ ¾ί着が促進される。 すなわち、 平均粒径が l〜5 0 nm、 好ましくは 3〜7 nmの金属微粒子が融合若しくは融着した配線が形成される。 この ように、 B$合若しくは融着により金属微粒子同士が面接触する状態を形成することに より、 配線の低抵抗化を実現することができる。
本発明は、 このような組成物を用いて導電性のパターンを形成することで、 線幅が 1〜: I 0 m程度の配線パターンの形成も容易になる。 また、 同様にコンタクトホ一 ルの直径が 1〜: L 0 m程度であっても、 組成物をその中に充填することができる。 すなわち、 微細な配線パターンで多層配線構造を形成することができる。
なお、 金属微粒子の代わりに、 絶縁物質の微粒子を用いれば、 同様に謹性のパ夕 ―ンを形成することができる。
実施例 9は実施例 1〜8のいずれとも組み合わせることができる。

Claims

請求の範囲
1 . 絶縁表面を有する基板上に、 C VD法、 蒸着法又はスパッ夕法により選択的に導 電層を形成し、
前記導電層に接するように、 組成物を吐出してレジストマスクを形成し、 前記レジストマスクを用いて、 大気圧又は大気圧近傍下で、 プラズマ発生手段によ り前記導電層をエッチングし、
大気圧又は大気圧近傍下で、 前記プラズマ発生手段により前記レジストマスクをァ ッシングすることを特徵とする配線の作製方法。
2. 絶縁表面を有する基板上に、 CVD法、 蒸着法又はスパッ夕法により選択的に導 電層を形成し、
前記導電層に接するように、 組成物を吐出してレジストマスクを形成し、 フォトマスクを介して、 前記レジストマスクに紫外光を照射し、
紫外光を照射した前記レジストマスクを用いて、 大気圧又は大気圧近傍下で、 ブラ ズマ発生手段により前記導電層をエッチングし、
大気圧又は大気圧近傍下で、 前記プラズマ発生手段により前記レジス卜マスクをァ ッシングすることを特徴とする配線の作製方法。
3. 請求項 1又は請求項 2において、 メタルマスクを用いて前記導電層を選択的に形 成することを特徴とする配線の作製方法。
4. 請求項 1又は請求項 2において、 前記組成物は感光剤を含むことを特徴とする配 線の作製方法。
5. 請求項 1又は請求項 2において、 前記プラズマ発生手段は第 1及び第 2の電極を 有し、 前記第 1の電極は、 前記第 2の電極の周囲を取り囲み、 かつ、 その先端にノズ ル状の前記ガスの供給口を有する円筒状であることを特徴とする配線の作製方法。
6. 請求項 1又は請求項 2において、 前記プラズマ発生手段は、 第 1及び第 2の電極 と、 前記第 1及び前記第 2の電極間にガスを導入するガス供給手段と、 前記第 1又は 前記第 2の電極に電圧を印加する電源とを有することを特徴とする配線の作製方法。
PCT/JP2004/000897 2003-02-05 2004-01-30 配線の作製方法 WO2004070820A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020117023753A KR101415131B1 (ko) 2003-02-05 2004-01-30 레지스트 패턴의 형성방법 및 반도체 장치의 제작방법
JP2004564058A JP4437544B2 (ja) 2003-02-05 2004-01-30 半導体装置の作製方法
EP04706799A EP1592053B1 (en) 2003-02-05 2004-01-30 Wiring fabricating method
KR1020057013264A KR101061891B1 (ko) 2003-02-05 2004-01-30 배선의 제작 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003028878 2003-02-05
JP2003028853 2003-02-05
JP2003-028853 2003-02-05
JP2003-028878 2003-02-05

Publications (1)

Publication Number Publication Date
WO2004070820A1 true WO2004070820A1 (ja) 2004-08-19

Family

ID=32852672

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/000897 WO2004070820A1 (ja) 2003-02-05 2004-01-30 配線の作製方法

Country Status (6)

Country Link
US (3) US7189654B2 (ja)
EP (1) EP1592053B1 (ja)
JP (1) JP4437544B2 (ja)
KR (4) KR20110038165A (ja)
TW (2) TWI428988B (ja)
WO (1) WO2004070820A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006073838A (ja) * 2004-09-03 2006-03-16 Sanyo Electric Co Ltd 半導体装置の製造方法
FR2879188A1 (fr) * 2004-12-13 2006-06-16 Saint Gobain Procede et installation pour le traitement d'un substrat verrier incorporant une ligne magnetron et un dispositif generant un plasma a pression atmospherique.
US7176069B2 (en) 2003-02-05 2007-02-13 Semiconductor Energy Laboratory Co., Ltd. Manufacture method of display device
US7189654B2 (en) 2003-02-05 2007-03-13 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for wiring
JP2008511146A (ja) * 2004-08-24 2008-04-10 オーティービー・グループ・ビー.ブイ. 薄膜電子デバイスをつくるためのインライン式の方法
US7399704B2 (en) 2003-10-02 2008-07-15 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device using liquid repellent film
US7416977B2 (en) 2004-04-28 2008-08-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device, liquid crystal television, and EL television
US7510905B2 (en) 2004-01-29 2009-03-31 Semiconductor Energy Laboratory Co., Ltd. Forming method of contact hole, and manufacturing method of semiconductor device, liquid crystal display device and EL display device
US7510893B2 (en) 2003-02-05 2009-03-31 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device using droplet emitting means
US7858453B2 (en) 2003-02-06 2010-12-28 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device and display device utilizing solution ejector
US7922819B2 (en) 2003-02-06 2011-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor manufacturing device
WO2011043163A1 (en) * 2009-10-05 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8395746B2 (en) 2006-01-31 2013-03-12 Semiconductor Energy Laboratory Co., Ltd. Display device
JP2017182997A (ja) * 2016-03-29 2017-10-05 芝浦メカトロニクス株式会社 大気圧プラズマ発生装置
US10566459B2 (en) 2009-10-30 2020-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a first region comprising silicon, oxygen and at least one metal element formed between an oxide semiconductor layer and an insulating layer

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW468283B (en) 1999-10-12 2001-12-11 Semiconductor Energy Lab EL display device and a method of manufacturing the same
TW497269B (en) * 2000-05-13 2002-08-01 Semiconductor Energy Lab Manufacturing method of semiconductor device
US6965124B2 (en) * 2000-12-12 2005-11-15 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and method of fabricating the same
WO2004070819A1 (ja) * 2003-02-05 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 表示装置の製造方法
KR101032338B1 (ko) * 2003-02-06 2011-05-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치의 제작방법
KR101186919B1 (ko) * 2003-02-06 2012-10-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치의 제조 방법
WO2004096451A1 (ja) * 2003-04-25 2004-11-11 Semiconductor Energy Laboratory Co., Ltd. パターンの作製方法及び液滴吐出装置
JP4731913B2 (ja) 2003-04-25 2011-07-27 株式会社半導体エネルギー研究所 パターンの形成方法および半導体装置の製造方法
KR101130232B1 (ko) 2003-11-14 2012-03-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치 및 그 제조 방법
KR101061888B1 (ko) 2003-11-14 2011-09-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 장치 및 그 제조방법
US7273773B2 (en) * 2004-01-26 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing thereof, and television device
US7338611B2 (en) * 2004-03-03 2008-03-04 Hewlett-Packard Development Company, L.P. Slotted substrates and methods of forming
US7462514B2 (en) 2004-03-03 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same, liquid crystal television, and EL television
US7642038B2 (en) * 2004-03-24 2010-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for forming pattern, thin film transistor, display device, method for manufacturing thereof, and television apparatus
US8158517B2 (en) * 2004-06-28 2012-04-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing wiring substrate, thin film transistor, display device and television device
DE102005045331A1 (de) * 2005-06-16 2006-12-28 Süss MicroTec AG Entfernen von dünnen strukturierten Polymerschichten durch atmosphärisches Plasma
JPWO2007091412A1 (ja) * 2006-02-08 2009-07-02 コニカミノルタホールディングス株式会社 パターン膜形成方法及びパターン膜形成装置
CN101432080B (zh) * 2006-05-01 2012-02-15 株式会社爱发科 印刷装置
TWI335450B (en) * 2006-05-15 2011-01-01 Ind Tech Res Inst Film cleaning method and apparatus
US7968453B2 (en) * 2006-10-12 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device, and etching apparatus
JP2008235780A (ja) * 2007-03-23 2008-10-02 Toshiba Corp 薄膜トランジスタおよびその製造方法
TW200841057A (en) * 2007-04-10 2008-10-16 Coretronic Corp Manufacturing method for stamper and manufacturing method for light guide plate using the stamper
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
JP4467611B2 (ja) * 2007-09-28 2010-05-26 株式会社日立製作所 光インプリント方法
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US20110073563A1 (en) * 2009-09-25 2011-03-31 Industrial Technology Research Institute Patterning Method for Carbon-Based Substrate
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362002A1 (en) 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition
EP2362001A1 (en) 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
WO2011122744A1 (ko) * 2010-03-31 2011-10-06 광주과학기술원 Ito 필름 패터닝 방법, 가요성 표시장치 제조 방법 및 가요성 표시장치
US8986451B2 (en) * 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US20110318503A1 (en) * 2010-06-29 2011-12-29 Christian Adams Plasma enhanced materials deposition system
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
JP5993090B2 (ja) * 2013-05-31 2016-09-14 キヤノンアネルバ株式会社 スパッタリング装置
US9048373B2 (en) * 2013-06-13 2015-06-02 Tsmc Solar Ltd. Evaporation apparatus and method
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
KR101661096B1 (ko) * 2015-03-20 2016-09-30 주식회사 엔씨디 마스크 프리 건식 식각 장치 및 건식 식각 방법
CN105137660A (zh) * 2015-09-25 2015-12-09 京东方科技集团股份有限公司 一种光配向膜杂质去除装置和方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
JP6785171B2 (ja) * 2017-03-08 2020-11-18 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにプラズマ原子層成長装置
CN108538905B (zh) * 2018-05-31 2021-03-16 武汉华星光电半导体显示技术有限公司 Oled发光器件及oled显示装置
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
TWI762230B (zh) * 2021-03-08 2022-04-21 天虹科技股份有限公司 遮擋機構及具有遮擋機構的基板處理腔室
CN113140451A (zh) * 2021-03-23 2021-07-20 深圳市时代速信科技有限公司 一种半导体器件的制造方法及中间体

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340129A (ja) * 1998-05-28 1999-12-10 Seiko Epson Corp パターン製造方法およびパターン製造装置
JP2002151478A (ja) * 2000-11-14 2002-05-24 Sekisui Chem Co Ltd ドライエッチング方法及びその装置
JP2002237480A (ja) * 2000-07-28 2002-08-23 Sekisui Chem Co Ltd 放電プラズマ処理方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5990966A (ja) 1982-11-16 1984-05-25 Matsushita Electric Ind Co Ltd 光電変換素子
JPS6021522A (ja) 1983-07-15 1985-02-02 Toshiba Corp レジストパタ−ン形成方法
JPH02139972A (ja) 1988-11-21 1990-05-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5549780A (en) * 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JPH04282839A (ja) 1991-03-11 1992-10-07 Toshiba Corp 薄膜トランジスタ及びその製造方法
JPH06182980A (ja) 1992-12-22 1994-07-05 Matsushita Electric Works Ltd インクジェットプリンターによる印刷装置
JP3098345B2 (ja) 1992-12-28 2000-10-16 富士通株式会社 薄膜トランジスタマトリクス装置及びその製造方法
JP3305425B2 (ja) 1993-06-24 2002-07-22 株式会社アイ・エヌ・アール研究所 プラズマ加工方法
JPH0737887A (ja) * 1993-07-22 1995-02-07 Mitsubishi Electric Corp 配線形成方法,配線修復方法,及び配線パターン変更方法
US5885661A (en) * 1993-11-24 1999-03-23 Semiconductor Systems, Inc. Droplet jet method for coating flat substrates with resist or similar materials
JPH0821908A (ja) 1994-07-11 1996-01-23 Fujitsu Ltd 光学素子の製造方法
JP2934153B2 (ja) * 1994-08-05 1999-08-16 ティーディーケイ株式会社 フォトレジスト膜形成方法
JP3598602B2 (ja) * 1995-08-07 2004-12-08 セイコーエプソン株式会社 プラズマエッチング方法、液晶表示パネルの製造方法、及びプラズマエッチング装置
JPH09320363A (ja) 1996-06-03 1997-12-12 Canon Inc 透明導電回路形成装置
JP3162313B2 (ja) * 1997-01-20 2001-04-25 工業技術院長 薄膜製造方法および薄膜製造装置
US6429400B1 (en) 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
JPH11176726A (ja) 1997-12-09 1999-07-02 Nikon Corp 露光方法、該方法を使用するリソグラフィシステム、及び前記方法を用いるデバイスの製造方法
JP4003273B2 (ja) * 1998-01-19 2007-11-07 セイコーエプソン株式会社 パターン形成方法および基板製造装置
US6416583B1 (en) * 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000188251A (ja) 1998-12-22 2000-07-04 Tokyo Electron Ltd 成膜装置及び成膜方法
US6909477B1 (en) * 1998-11-26 2005-06-21 Lg. Philips Lcd Co., Ltd Liquid crystal display device with an ink-jet color filter and process for fabricating the same
JP3555470B2 (ja) 1998-12-04 2004-08-18 セイコーエプソン株式会社 大気圧高周波プラズマによるエッチング方法
JP2000258921A (ja) 1999-03-10 2000-09-22 Canon Inc パターン形成方法およびその形成パターン
WO2000056920A1 (en) 1999-03-18 2000-09-28 Exiqon A/S One step sample preparation and detection of nucleic acids in complex biological samples
JP4327951B2 (ja) 1999-08-26 2009-09-09 大日本印刷株式会社 微細パターン形成装置とその製造方法および微細パターン形成装置を用いた微細パターンの形成方法
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
JP2001093871A (ja) 1999-09-24 2001-04-06 Tadahiro Omi プラズマ加工装置、製造工程およびそのデバイス
EP1243034A1 (en) 1999-12-21 2002-09-25 Plastic Logic Limited Solution processed devices
CA2394895C (en) 1999-12-21 2014-01-28 Plastic Logic Limited Forming interconnects
KR100940110B1 (ko) 1999-12-21 2010-02-02 플라스틱 로직 리미티드 잉크젯으로 제조되는 집적회로 및 전자 디바이스 제조 방법
WO2001047045A1 (en) 1999-12-21 2001-06-28 Plastic Logic Limited Solution processing
JP3926076B2 (ja) 1999-12-24 2007-06-06 日本電気株式会社 薄膜パターン形成方法
JP2001209073A (ja) 2000-01-28 2001-08-03 Canon Inc 液晶素子とその製造方法
JP2001308082A (ja) * 2000-04-20 2001-11-02 Nec Corp 液体有機原料の気化方法及び絶縁膜の成長方法
JP2002027480A (ja) 2000-07-10 2002-01-25 Fujitsu Ltd 動画像処理方法および動画像処理装置
JP2002237463A (ja) 2000-07-28 2002-08-23 Sekisui Chem Co Ltd 半導体素子の製造方法及び装置
JP2002066391A (ja) 2000-08-31 2002-03-05 Dainippon Screen Mfg Co Ltd 塗布方法および塗布装置
JP2002107744A (ja) 2000-09-27 2002-04-10 Koninkl Philips Electronics Nv 電極形成方法、画素電極形成方法、及び液晶表示装置
JP2002215065A (ja) 2000-11-02 2002-07-31 Seiko Epson Corp 有機エレクトロルミネッセンス装置及びその製造方法、並びに電子機器
TW531801B (en) * 2000-11-14 2003-05-11 Sekisui Chemical Co Ltd Normal plasma processing method and processing device
JP2002151494A (ja) 2000-11-14 2002-05-24 Sekisui Chem Co Ltd 常圧プラズマ処理方法及びその装置
JP2002176178A (ja) * 2000-12-07 2002-06-21 Seiko Epson Corp 表示装置及びその製造方法
TW554405B (en) * 2000-12-22 2003-09-21 Seiko Epson Corp Pattern generation method and apparatus
SG116443A1 (en) * 2001-03-27 2005-11-28 Semiconductor Energy Lab Wiring and method of manufacturing the same, and wiring board and method of manufacturing the same.
JP4338934B2 (ja) * 2001-03-27 2009-10-07 株式会社半導体エネルギー研究所 配線の作製方法
JP2002289864A (ja) 2001-03-27 2002-10-04 Toshiba Corp 薄膜トランジスタおよびその製造方法
JP2002359347A (ja) 2001-03-28 2002-12-13 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2002318394A (ja) 2001-04-23 2002-10-31 Matsushita Electric Ind Co Ltd 液晶表示装置の製造方法
JP3774638B2 (ja) 2001-04-24 2006-05-17 ハリマ化成株式会社 インクジェット印刷法を利用する回路パターンの形成方法
JP3726040B2 (ja) 2001-06-28 2005-12-14 株式会社神戸製鋼所 プラズマ処理装置およびプラズマ処理方法
JP4141787B2 (ja) 2001-10-10 2008-08-27 セイコーエプソン株式会社 薄膜の形成方法、この方法に用いる溶液、電子デバイスの形成方法
JP4138435B2 (ja) 2001-10-10 2008-08-27 セイコーエプソン株式会社 薄膜の形成方法、電子デバイスの形成方法
JP4138434B2 (ja) 2001-10-10 2008-08-27 セイコーエプソン株式会社 薄膜の形成方法、電子デバイスの形成方法
US6808749B2 (en) * 2001-10-10 2004-10-26 Seiko Epson Corporation Thin film forming method, solution and apparatus for use in the method, and electronic device fabricating method
JP4138433B2 (ja) 2001-10-10 2008-08-27 セイコーエプソン株式会社 薄膜の形成方法、電子デバイスの形成方法
JP4192456B2 (ja) 2001-10-22 2008-12-10 セイコーエプソン株式会社 薄膜形成方法ならびにこれを用いた薄膜構造体の製造装置、半導体装置の製造方法、および電気光学装置の製造方法
JP3890973B2 (ja) * 2001-12-20 2007-03-07 セイコーエプソン株式会社 ヘッドユニット
US7056416B2 (en) 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
JP4546032B2 (ja) 2002-03-19 2010-09-15 パナソニック株式会社 プラズマ処理装置及び方法
JP3966059B2 (ja) 2002-04-19 2007-08-29 セイコーエプソン株式会社 製膜方法と液滴吐出ヘッド、液滴吐出装置及びデバイスの製造方法、デバイス並びに電子機器
WO2004070819A1 (ja) * 2003-02-05 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 表示装置の製造方法
CN100459060C (zh) * 2003-02-05 2009-02-04 株式会社半导体能源研究所 显示装置的制造方法
KR20110038165A (ko) 2003-02-05 2011-04-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레지스트 패턴의 형성방법 및 반도체장치의 제조방법
EP1592054A4 (en) * 2003-02-05 2010-08-25 Semiconductor Energy Lab METHOD FOR MANUFACTURING A SCREEN
KR101113773B1 (ko) * 2003-02-06 2012-03-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 제조장치
KR101193015B1 (ko) * 2003-02-06 2012-10-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 장치
KR101032338B1 (ko) * 2003-02-06 2011-05-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치의 제작방법
KR101186919B1 (ko) * 2003-02-06 2012-10-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340129A (ja) * 1998-05-28 1999-12-10 Seiko Epson Corp パターン製造方法およびパターン製造装置
JP2002237480A (ja) * 2000-07-28 2002-08-23 Sekisui Chem Co Ltd 放電プラズマ処理方法
JP2002151478A (ja) * 2000-11-14 2002-05-24 Sekisui Chem Co Ltd ドライエッチング方法及びその装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1592053A4 *

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510893B2 (en) 2003-02-05 2009-03-31 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device using droplet emitting means
US8460857B2 (en) 2003-02-05 2013-06-11 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for wiring
US8053174B2 (en) 2003-02-05 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for wiring
US7176069B2 (en) 2003-02-05 2007-02-13 Semiconductor Energy Laboratory Co., Ltd. Manufacture method of display device
US7189654B2 (en) 2003-02-05 2007-03-13 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for wiring
US7736955B2 (en) 2003-02-05 2010-06-15 Semiconductor Energy Laboratory Co., Ltd. Manufacture method of display device by using droplet discharge method
US8569119B2 (en) 2003-02-06 2013-10-29 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and display device
US7858453B2 (en) 2003-02-06 2010-12-28 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device and display device utilizing solution ejector
US7922819B2 (en) 2003-02-06 2011-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor manufacturing device
US7399704B2 (en) 2003-10-02 2008-07-15 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device using liquid repellent film
US7510905B2 (en) 2004-01-29 2009-03-31 Semiconductor Energy Laboratory Co., Ltd. Forming method of contact hole, and manufacturing method of semiconductor device, liquid crystal display device and EL display device
US7655499B2 (en) 2004-01-29 2010-02-02 Semiconductor Energy Laboratory Co., Ltd. Forming method of contact hole and manufacturing method of semiconductor device, liquid crystal display device and EL display device
US7416977B2 (en) 2004-04-28 2008-08-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device, liquid crystal television, and EL television
JP2008511146A (ja) * 2004-08-24 2008-04-10 オーティービー・グループ・ビー.ブイ. 薄膜電子デバイスをつくるためのインライン式の方法
JP2006073838A (ja) * 2004-09-03 2006-03-16 Sanyo Electric Co Ltd 半導体装置の製造方法
WO2006064161A1 (fr) * 2004-12-13 2006-06-22 Saint-Gobain Glass France Procede et installation pour le traitement d'un substrat verrier incorporant une ligne magnetron et un dispositif generant un plasma a pression atmospherique.
FR2879188A1 (fr) * 2004-12-13 2006-06-16 Saint Gobain Procede et installation pour le traitement d'un substrat verrier incorporant une ligne magnetron et un dispositif generant un plasma a pression atmospherique.
US8395746B2 (en) 2006-01-31 2013-03-12 Semiconductor Energy Laboratory Co., Ltd. Display device
US8773632B2 (en) 2006-01-31 2014-07-08 Semiconductor Energy Laboratory Co., Ltd. Display device
US9235071B2 (en) 2006-01-31 2016-01-12 Semiconductor Energy Laboratory Co., Ltd. Display device
WO2011043163A1 (en) * 2009-10-05 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9627198B2 (en) 2009-10-05 2017-04-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film semiconductor device
US9754784B2 (en) 2009-10-05 2017-09-05 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor device
US10566459B2 (en) 2009-10-30 2020-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a first region comprising silicon, oxygen and at least one metal element formed between an oxide semiconductor layer and an insulating layer
JP2017182997A (ja) * 2016-03-29 2017-10-05 芝浦メカトロニクス株式会社 大気圧プラズマ発生装置

Also Published As

Publication number Publication date
EP1592053A1 (en) 2005-11-02
JPWO2004070820A1 (ja) 2006-05-25
US7189654B2 (en) 2007-03-13
KR20110126750A (ko) 2011-11-23
US8053174B2 (en) 2011-11-08
KR20050095774A (ko) 2005-09-30
KR20080106361A (ko) 2008-12-04
TWI369738B (en) 2012-08-01
US8460857B2 (en) 2013-06-11
US20050011752A1 (en) 2005-01-20
TW200919584A (en) 2009-05-01
KR101415131B1 (ko) 2014-07-04
JP4437544B2 (ja) 2010-03-24
TWI428988B (zh) 2014-03-01
US20070167023A1 (en) 2007-07-19
EP1592053B1 (en) 2011-08-24
KR101061891B1 (ko) 2011-09-02
KR20110038165A (ko) 2011-04-13
EP1592053A4 (en) 2010-01-27
TW200501269A (en) 2005-01-01
US20090042394A1 (en) 2009-02-12

Similar Documents

Publication Publication Date Title
JP4437544B2 (ja) 半導体装置の作製方法
JP4667529B2 (ja) 半導体装置の作製方法
US20050013927A1 (en) Manufacturing method for display device
US7625493B2 (en) Method for manufacturing display device
US20070272149A1 (en) Liquid drop jetting apparatus using charged beam and method for manufacturing a pattern using the apparatus
US7294566B2 (en) Method for forming wiring pattern, method for manufacturing device, device, electro-optic apparatus, and electronic equipment
WO2004070823A1 (ja) 表示装置の作製方法
JP4741192B2 (ja) 半導体装置の作製方法
JP4656843B2 (ja) 半導体装置の作製方法
JP2005260015A (ja) 膜除去装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2004564058

Country of ref document: JP

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057013264

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004706799

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057013264

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004706799

Country of ref document: EP