WO2004076963A3 - Apparatus and method for detecting overlay errors using scatterometry - Google Patents

Apparatus and method for detecting overlay errors using scatterometry Download PDF

Info

Publication number
WO2004076963A3
WO2004076963A3 PCT/US2004/005419 US2004005419W WO2004076963A3 WO 2004076963 A3 WO2004076963 A3 WO 2004076963A3 US 2004005419 W US2004005419 W US 2004005419W WO 2004076963 A3 WO2004076963 A3 WO 2004076963A3
Authority
WO
WIPO (PCT)
Prior art keywords
scatterometry
layer
periodic targets
structures
overlay errors
Prior art date
Application number
PCT/US2004/005419
Other languages
French (fr)
Other versions
WO2004076963A2 (en
Inventor
Walter D Mieher
Ady Levy
Boris Golovanevsky
Michael Friedmann
Ian Smith
Michael Adel
Anatoly Fabrikant
Christopher F Bevis
John Fielden
Noah Bareket
Ken Gross
Piotr Zalicki
Dan Wack
Paola Dececco
Thaddeus G Dziura
Mark Ghinovker
Original Assignee
Kla Tencor Tech Corp
Walter D Mieher
Ady Levy
Boris Golovanevsky
Michael Friedmann
Ian Smith
Michael Adel
Anatoly Fabrikant
Christopher F Bevis
John Fielden
Noah Bareket
Ken Gross
Piotr Zalicki
Dan Wack
Paola Dececco
Thaddeus G Dziura
Mark Ghinovker
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Tech Corp, Walter D Mieher, Ady Levy, Boris Golovanevsky, Michael Friedmann, Ian Smith, Michael Adel, Anatoly Fabrikant, Christopher F Bevis, John Fielden, Noah Bareket, Ken Gross, Piotr Zalicki, Dan Wack, Paola Dececco, Thaddeus G Dziura, Mark Ghinovker filed Critical Kla Tencor Tech Corp
Priority to DE602004032117T priority Critical patent/DE602004032117D1/en
Priority to EP04713795A priority patent/EP1601931B1/en
Priority to JP2006503834A priority patent/JP4789798B2/en
Priority to AT04713795T priority patent/ATE504862T1/en
Publication of WO2004076963A2 publication Critical patent/WO2004076963A2/en
Publication of WO2004076963A3 publication Critical patent/WO2004076963A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Abstract

Disclosed is a method of determining an overlay error between two layers of a multiple layer sample. For a plurality of periodic targets that each have a first structure formed from a first layer and a second structure formed from a second layer of the sample, an optical system is employed to thereby measure an optical signal from each of the periodic targets. There are predefined offsets between the first and second structures. An overlay error is determined between the first and second structures by analyzing the measured optical signals from the periodic targets using a scatterometry overlay technique based on the predefined offsets. The optical system comprises any one or more of the following apparatuses: a reflectometric, a ellipsomertic, imaging, interferometric, and/ or scanning angle system.
PCT/US2004/005419 2003-02-22 2004-02-23 Apparatus and method for detecting overlay errors using scatterometry WO2004076963A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE602004032117T DE602004032117D1 (en) 2003-02-22 2004-02-23 METHOD FOR DETECTING OVERLOAD ERRORS BY SCATTEROMETRY
EP04713795A EP1601931B1 (en) 2003-02-22 2004-02-23 Method for detecting overlay errors using scatterometry
JP2006503834A JP4789798B2 (en) 2003-02-22 2004-02-23 Apparatus and method for detecting overlay error using scatterometry
AT04713795T ATE504862T1 (en) 2003-02-22 2004-02-23 METHOD FOR DETECTING SUPERMEDIATION ERRORS USING SCATTEROMETRY

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US44949603P 2003-02-22 2003-02-22
US60/449,496 2003-02-22
US49852403P 2003-08-27 2003-08-27
US60/498,524 2003-08-27
US50409303P 2003-09-19 2003-09-19
US60/504,093 2003-09-19
US10/729,838 US7317531B2 (en) 2002-12-05 2003-12-05 Apparatus and methods for detecting overlay errors using scatterometry
US10/729,838 2003-12-05

Publications (2)

Publication Number Publication Date
WO2004076963A2 WO2004076963A2 (en) 2004-09-10
WO2004076963A3 true WO2004076963A3 (en) 2004-11-18

Family

ID=32931583

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/005419 WO2004076963A2 (en) 2003-02-22 2004-02-23 Apparatus and method for detecting overlay errors using scatterometry

Country Status (6)

Country Link
US (13) US7317531B2 (en)
EP (1) EP1601931B1 (en)
JP (9) JP4789798B2 (en)
AT (1) ATE504862T1 (en)
DE (1) DE602004032117D1 (en)
WO (1) WO2004076963A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE45245E1 (en) 2000-08-30 2014-11-18 Kla-Tencor Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
CN108036737A (en) * 2017-12-12 2018-05-15 南京信息工程大学 A kind of device and method of the fast illuminated detection reflecting surface face shape of Whole-field illumination

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US6743646B2 (en) * 2001-10-22 2004-06-01 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7126131B2 (en) * 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
EP1477857A1 (en) * 2003-05-13 2004-11-17 ASML Netherlands B.V. Method of characterising a process step and device manufacturing method
JP4481109B2 (en) * 2003-08-26 2010-06-16 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus, device manufacturing method, and computer program
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7301646B2 (en) * 2004-01-21 2007-11-27 Carl Zeiss Smt Ag Device and method for the determination of imaging errors and microlithography projection exposure system
JP4734261B2 (en) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション Continuously changing offset mark and overlay determination method
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
DE102004012125B3 (en) * 2004-03-12 2005-09-01 Nanofilm Technologie Gmbh Ellipsometric measurement with Region Of Interest-supported image correction involves correcting second image so ROI positions and/or shapes coincide in two images, processing corresponding image points/regions to produce resulting image
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7239389B2 (en) * 2004-07-29 2007-07-03 Applied Materials, Israel, Ltd. Determination of irradiation parameters for inspection of a surface
US7804059B2 (en) 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060061743A1 (en) * 2004-09-22 2006-03-23 Asml Netherlands B.V. Lithographic apparatus, alignment system, and device manufacturing method
US7541121B2 (en) * 2004-10-13 2009-06-02 Infineon Technologies Ag Calibration of optical line shortening measurements
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
DE102004062256A1 (en) * 2004-12-23 2006-07-13 Basf Ag Highly sensitive method for detecting differences between the physically measurable properties of a sample and a reference
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
TWI409451B (en) 2005-01-20 2013-09-21 Zygo Corp Interferometry system, interferometry apparatus, and interferometry systemmethod for determining characteristics of an object surface
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
JP4624170B2 (en) * 2005-04-25 2011-02-02 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7277172B2 (en) * 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7629259B2 (en) * 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
DE102005037531A1 (en) * 2005-08-09 2007-02-15 Leica Microsystems Cms Gmbh Method and apparatus for reducing systematic measurement errors in the microscopic examination of objects
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
JP2009509156A (en) * 2005-09-21 2009-03-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ System for detecting the motion of an object
US7659975B1 (en) * 2005-09-21 2010-02-09 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer or setting up an inspection process
DE102005046973B4 (en) * 2005-09-30 2014-01-30 Globalfoundries Inc. A structure and method for simultaneously determining overlay accuracy and pattern placement error
US7526749B2 (en) * 2005-10-31 2009-04-28 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7440094B2 (en) * 2005-11-30 2008-10-21 Wafermasters Incorporated Optical sample characterization system
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US7561282B1 (en) 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7522293B2 (en) * 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US8908175B1 (en) 2006-03-31 2014-12-09 Kla-Tencor Corporation Flexible scatterometry metrology system and method
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7415319B2 (en) * 2006-04-04 2008-08-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2008058B1 (en) * 2006-04-07 2012-12-05 Abbott Medical Optics Inc. Geometric measurement system and method of measuring a geometric characteristic of an object
JP4795300B2 (en) * 2006-04-18 2011-10-19 キヤノン株式会社 Alignment method, imprint method, alignment apparatus, imprint apparatus, and position measurement method
US7656529B1 (en) 2006-05-30 2010-02-02 Mehrdad Nikoonahad Overlay error measurement using fourier optics
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100742982B1 (en) * 2006-06-22 2007-07-26 케이맥(주) Focused-beam ellipsometer
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
FI20060715A0 (en) * 2006-08-03 2006-08-03 Chun Ye Method and configuration, in particular for measuring intact pulp fibers
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008087486A2 (en) * 2006-09-14 2008-07-24 Tessera Technologies Hungary Kft. Imaging system with improved image quality and associated methods
EP2069851A4 (en) * 2006-09-14 2010-02-24 Tessera Tech Hungary Kft Imaging system with relaxed assembly tolerances and associated methods
US7571422B2 (en) * 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7924435B2 (en) 2006-12-22 2011-04-12 Zygo Corporation Apparatus and method for measuring characteristics of surface features
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7764387B2 (en) * 2007-03-02 2010-07-27 Applied Kinetics, Inc. Apparatus and method for measuring suspension and head assemblies in a stack
KR100890288B1 (en) * 2007-03-08 2009-03-26 삼성전기주식회사 Callibration apparatus for the displacement of the reflective part in the diffractive optical modulator
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8004678B2 (en) * 2007-06-26 2011-08-23 Intel Corporation Wafer level alignment structures using subwavelength grating polarizers
TWI416096B (en) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd Method and system for use in monitoring properties of patterned structures
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
CN202189297U (en) * 2007-07-22 2012-04-11 康代有限公司 System for controlling manufacturing process of electronic circuit
US7884936B2 (en) * 2007-08-22 2011-02-08 Kla-Tencor Corporation Apparatus and methods for scattering-based semiconductor inspection and metrology
US20090053834A1 (en) * 2007-08-23 2009-02-26 Vladimir Alexeevich Ukraintsev Use of scatterometry for in-line detection of poly-si strings left in sti divot after gate etch
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7998826B2 (en) * 2007-09-07 2011-08-16 Macronix International Co., Ltd. Method of forming mark in IC-fabricating process
IL194580A0 (en) * 2007-10-09 2009-08-03 Asml Netherlands Bv Alignment method and apparatus, lithographic apparatus, metrology apparatus and device manufacturing method
NL1036032A1 (en) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7645621B2 (en) * 2007-10-16 2010-01-12 International Business Machines Corporation Optical inspection methods
US7978337B2 (en) 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
KR100917912B1 (en) * 2007-11-13 2009-09-16 한국표준과학연구원 Single-Polarizer Focused-Beam Ellipsometer
US7800108B2 (en) 2007-11-30 2010-09-21 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
WO2009079334A2 (en) 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
NL1036245A1 (en) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
NL1036459A1 (en) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
US7815824B2 (en) * 2008-02-26 2010-10-19 Molecular Imprints, Inc. Real time imprint process diagnostics for defects
NL1036597A1 (en) * 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US7602509B1 (en) 2008-03-18 2009-10-13 Kla-Tencor Corporation Method for selecting optical configuration for high-precision scatterometric measurement
NL1036734A1 (en) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
US8054473B2 (en) * 2008-04-30 2011-11-08 Qimonda Ag Measurement method for determining dimensions of features resulting from enhanced patterning methods
US8004679B2 (en) * 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
NL1036886A1 (en) 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2286175A4 (en) * 2008-06-03 2017-04-12 Hwan J. Jeong Interferometric defect detection and classification
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
US8665417B2 (en) * 2008-06-11 2014-03-04 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US8293546B2 (en) 2008-06-17 2012-10-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with sub-geometry removal and method of manufacture thereof
US8084872B2 (en) * 2008-07-01 2011-12-27 Macronix International Co., Ltd. Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
WO2010013232A1 (en) * 2008-07-29 2010-02-04 Applied Materials Israel Ltd. Mapping variations of a surface
US9229338B2 (en) * 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
NL2003497A (en) * 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
JP5284481B2 (en) * 2008-11-07 2013-09-11 エーエスエムエル ネザーランズ ビー.ブイ. Scatterometer and lithographic apparatus
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8213011B2 (en) * 2009-02-03 2012-07-03 Image Analytics, Inc. Spatial imaging/imaging spectroscopy system and method
US20100197508A1 (en) * 2009-02-03 2010-08-05 The Administrator of the National Aeronautics and Space Administration, United States of America Integrated Universal Chemical Detector with Selective Diffraction Array
NL2004400A (en) 2009-04-09 2010-10-12 Asml Holding Nv Tunable wavelength illumination system.
NL2004542A (en) * 2009-05-11 2010-11-15 Asml Netherlands Bv Method of determining overlay error and a device manufacturing method.
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
JP5087186B1 (en) * 2009-06-19 2012-11-28 ザイゴ コーポレーション Iso-optical path interferometer
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
WO2011011511A1 (en) * 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8183701B2 (en) * 2009-07-29 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of stacking scatterometry based overlay marks for marks footprint reduction
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
NL2005192A (en) * 2009-08-24 2011-02-28 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, device manufacturing method and substrate.
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
WO2011045132A1 (en) * 2009-10-12 2011-04-21 Asml Netherlands B.V. Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
TWI401549B (en) * 2009-12-02 2013-07-11 Ind Tech Res Inst Method for designing two dimensional overlay array target and method and system for measureing overlay error using the same
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
TWI417942B (en) * 2009-12-17 2013-12-01 Ind Tech Res Inst Method for designing two dimensional array overlay target set and method and system for measureing overlay error using the same
TWI408331B (en) * 2009-12-17 2013-09-11 Ind Tech Res Inst Measurement device and method of double-sided optical films
JP5391055B2 (en) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing system
US8148682B2 (en) * 2009-12-29 2012-04-03 Hitachi, Ltd. Method and apparatus for pattern position and overlay measurement
WO2011083544A1 (en) * 2010-01-06 2011-07-14 パナソニック株式会社 Film thickness measuring apparatus using interference and method of measuring film thickness using interference
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
EP2550504B1 (en) * 2010-03-25 2019-01-02 Nanometrics Incorporated Diffraction based overlay linearity testing
NL2006454A (en) * 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
US9625823B1 (en) * 2010-06-17 2017-04-18 Kla-Tencor Corporation Calculation method for local film stress measurements using local film thickness values
FR2962804B1 (en) * 2010-07-19 2014-04-18 Horiba Jobin Yvon Sas POLARIMETRIC MEASUREMENT DEVICE AND METHOD WITH MICROSCOPIC RESOLUTION, POLARIMETRY ACCESSORY FOR MICROSCOPE, ELLIPSO-MICROSCOPE AND MICROSCOPE WITH ELLIPSOMETRIC CONTRAST
US9164397B2 (en) * 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
DE102010041556A1 (en) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projection exposure apparatus for microlithography and method for microlithographic imaging
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
KR101158323B1 (en) * 2010-10-14 2012-06-26 주식회사 고영테크놀러지 Method for inspecting substrate
JP5737922B2 (en) * 2010-12-14 2015-06-17 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Manufacturing method of semiconductor device
US9007584B2 (en) * 2010-12-27 2015-04-14 Nanometrics Incorporated Simultaneous measurement of multiple overlay errors using diffraction based overlay
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US20120224176A1 (en) * 2011-03-03 2012-09-06 Nanometrics Incorporated Parallel Acquisition Of Spectra For Diffraction Based Overlay
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
TWI582539B (en) * 2011-04-06 2017-05-11 克萊譚克公司 Method and system for providing a quality metric for improved process control
KR101943593B1 (en) * 2011-04-06 2019-01-30 케이엘에이-텐코 코포레이션 Method and system for providing a quality metric for improved process control
JP5162006B2 (en) * 2011-06-01 2013-03-13 キヤノン株式会社 Detection apparatus, exposure apparatus, and device manufacturing method
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9709903B2 (en) 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
NL2010401A (en) * 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
WO2013181156A1 (en) * 2012-05-29 2013-12-05 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9182341B2 (en) 2012-06-13 2015-11-10 Kla-Tencor Corporation Optical surface scanning systems and methods
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9243886B1 (en) 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
KR102330741B1 (en) 2012-06-26 2021-11-23 케이엘에이 코포레이션 Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
KR102015934B1 (en) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. Metrology for lithography
KR101609652B1 (en) * 2012-07-10 2016-04-06 에이에스엠엘 네델란즈 비.브이. Lithographic cluster system, method for calibrating a positioning device of a lithographic apparatus
WO2014016056A1 (en) * 2012-07-23 2014-01-30 Asml Netherlands B.V. Inspection method and apparatus, lithographic system and device manufacturing method
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
DE102012218382B4 (en) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Method for determining a laser microdissection range and associated laser microdissection system
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
TWI598972B (en) * 2012-11-09 2017-09-11 克萊譚克公司 Reducing algorithmic inaccuracy in scatterometry overlay metrology
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
WO2014081909A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation In-situ metrology
US10698321B2 (en) * 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
US8994943B2 (en) * 2012-11-30 2015-03-31 Infineon Technologies Ag Selectivity by polarization
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9536796B2 (en) 2013-01-02 2017-01-03 Globalfoundries Inc. Multiple manufacturing line qualification
US9514999B2 (en) * 2013-01-02 2016-12-06 Globalfoundries Inc. Systems and methods for semiconductor line scribe line centering
US9429856B1 (en) 2013-01-21 2016-08-30 Kla-Tencor Corporation Detectable overlay targets with strong definition of center locations
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
KR102094974B1 (en) * 2013-03-08 2020-03-30 삼성전자주식회사 Methods for overlay measurements
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
CN103247550B (en) * 2013-05-07 2016-04-13 上海华力微电子有限公司 The test module of monitoring program stability and method
WO2014193983A1 (en) * 2013-05-29 2014-12-04 Kla-Tencor Corporation Multi-layered target design
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
TWI621190B (en) * 2013-06-19 2018-04-11 克萊譚克公司 Hybrid imaging and scatterometry targets
CN105408721B (en) 2013-06-27 2020-01-10 科磊股份有限公司 Polarization measurement of metrology targets and corresponding target design
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9007571B2 (en) * 2013-08-20 2015-04-14 United Microelectronics Corp. Measurement method of overlay mark
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9091667B2 (en) * 2013-10-25 2015-07-28 Globalfoundries Inc. Detection of particle contamination on wafers
CN105814491B (en) 2013-10-30 2017-12-05 Asml荷兰有限公司 Check apparatus and method, there is substrate, etching system and the device making method for measuring target
US10042268B2 (en) * 2013-11-26 2018-08-07 Asml Netherlands B.V. Method, apparatus and substrates for lithographic metrology
WO2015089514A2 (en) * 2013-12-13 2015-06-18 Colorado State University Research Foundation Nano-stamp and matched reader for anti-counterfeiting applications
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
WO2015157464A1 (en) * 2014-04-09 2015-10-15 Kla-Tencor Corporation Estimating and eliminating inter-cell process variation inaccuracy
US20150316468A1 (en) * 2014-04-30 2015-11-05 Nova Measuring Instruments Ltd. Method and system for optical characterization of patterned samples
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US9786251B1 (en) * 2014-05-28 2017-10-10 Musco Corporation Apparatus, method, and system for visually indicating perceived glare thresholds
CN106462076B (en) 2014-06-02 2018-06-22 Asml荷兰有限公司 The method for designing metric objective, the substrate with metric objective, the method and device making method for measuring overlapping
KR102199324B1 (en) * 2014-06-21 2021-01-07 케이엘에이 코포레이션 Compound imaging metrology targets
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016037003A1 (en) * 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9677935B2 (en) * 2014-11-03 2017-06-13 Trutag Technologies, Inc. Fabry-perot spectral image measurement
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
CN112698551A (en) * 2014-11-25 2021-04-23 科磊股份有限公司 Analyzing and utilizing landscape
KR20160066448A (en) 2014-12-02 2016-06-10 삼성전자주식회사 Method for inspecting surface
KR20160121206A (en) 2015-04-10 2016-10-19 삼성전자주식회사 Method for detecting an overlay error and method for manufacturing semiconductor using the same
KR102512180B1 (en) * 2015-04-28 2023-03-20 케이엘에이 코포레이션 Computationally efficient X-ray based overlay measurements
JP6723269B2 (en) 2015-05-15 2020-07-15 ケーエルエー コーポレイション System and method for focus determination using a focus sensitive overlay target
KR102607646B1 (en) * 2015-05-19 2023-11-29 케이엘에이 코포레이션 Topographic phase control for overlay measurement
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10754260B2 (en) 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
CN108028210B (en) * 2015-09-21 2022-07-12 科磊股份有限公司 Method and system for process control using flexible sampling
US9864280B2 (en) * 2015-10-02 2018-01-09 Applied Materials, Inc. Overlay error correction
NL2017949A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology method, target and substrate
WO2017144270A1 (en) * 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
KR102079181B1 (en) * 2016-03-04 2020-02-19 주식회사 고영테크놀러지 Pattern lighting appartus and method thereof
JP6821700B2 (en) 2016-04-22 2021-01-27 ケーエルエー コーポレイション Small spot size transmission type small angle X-ray beam shaping slit for scatterometry
US10451412B2 (en) * 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9921104B2 (en) * 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
KR102640173B1 (en) 2016-06-14 2024-02-26 삼성전자주식회사 Diffraction based overlay mark and metrology method
WO2018007126A1 (en) * 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
CN109643068B (en) * 2016-08-23 2021-10-01 Asml荷兰有限公司 Metrology apparatus and method for measuring a structure and lithographic system
US10416576B2 (en) * 2016-09-14 2019-09-17 Canon Kabushiki Kaisha Optical system for use in stage control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
WO2018089865A1 (en) 2016-11-12 2018-05-17 The Trustees Of Columbia University In The City Of New York Microscopy devices, methods and systems
US10288408B2 (en) * 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US10983005B2 (en) * 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10551749B2 (en) * 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
WO2018153711A1 (en) * 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
CN110546577B (en) * 2017-04-28 2022-05-24 Asml荷兰有限公司 Metering method and apparatus and associated computer program
CN110612481A (en) * 2017-05-08 2019-12-24 Asml荷兰有限公司 Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
EP3450938B1 (en) * 2017-08-30 2022-10-12 IMEC vzw An image sensor and an imaging apparatus
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
KR102408833B1 (en) 2017-10-05 2022-06-13 에이에스엠엘 네델란즈 비.브이. Metrology systems and methods for determining properties of one or more structures on a substrate
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US10483214B2 (en) 2018-01-03 2019-11-19 Globalfoundries Inc. Overlay structures
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
EP3528047A1 (en) 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
JP2020041859A (en) * 2018-09-07 2020-03-19 キオクシア株式会社 Position measuring method, position measuring device, and semiconductor device manufacturing method
US11118903B2 (en) * 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
JP7431824B2 (en) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション Scatterometry overlay (SCOL) measurement method and SCOL measurement system
WO2020122996A1 (en) * 2018-12-12 2020-06-18 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
US11075126B2 (en) * 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
CN110470618A (en) * 2019-07-10 2019-11-19 中国科学院上海技术物理研究所 Based on atmosphere selection through the detection method of the monochromator optical wavelength offset of characteristic
KR20210011278A (en) * 2019-07-22 2021-02-01 삼성전자주식회사 Inspection method based on IE(Imaging Ellipsometry), and method for fabricating semiconductor device using the inspection method
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
WO2021023792A1 (en) * 2019-08-07 2021-02-11 Asml Holding N.V. Laser module assembly for alignment system, metrology system, and lithographic apparatus
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11474028B2 (en) 2019-10-15 2022-10-18 Tokyo Electron Limited Systems and methods for monitoring one or more characteristics of a substrate
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
KR20220091544A (en) 2019-11-04 2022-06-30 도쿄엘렉트론가부시키가이샤 Systems and methods for calibrating multiple wafer inspection system (WIS) modules
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11168978B2 (en) * 2020-01-06 2021-11-09 Tokyo Electron Limited Hardware improvements and methods for the analysis of a spinning reflective substrates
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11156566B2 (en) * 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11355375B2 (en) * 2020-07-09 2022-06-07 Kla Corporation Device-like overlay metrology targets displaying Moiré effects
WO2022023005A1 (en) 2020-07-30 2022-02-03 BONASTRE, Sanchez A. Polarimetric microscope in reflection for the measurement of the refractive index and associated optical method
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4229388A1 (en) 2020-10-15 2023-08-23 Applied Materials, Inc. In-line metrology systems, apparatus, and methods for optical devices
CN112230514B (en) * 2020-10-23 2022-04-12 泉芯集成电路制造(济南)有限公司 Overlay error measurement mark structure, manufacturing method thereof and overlay error measurement method
CN114695087A (en) * 2020-12-30 2022-07-01 科磊股份有限公司 Method and system for manufacturing integrated circuit
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
US11592755B2 (en) * 2021-03-31 2023-02-28 Kla Corporation Enhancing performance of overlay metrology
KR20240016967A (en) * 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. Metrology methods and associated metrology tools
EP4187321A1 (en) * 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
US11738363B2 (en) 2021-06-07 2023-08-29 Tokyo Electron Limited Bath systems and methods thereof
KR20230030346A (en) 2021-08-25 2023-03-06 삼성전자주식회사 Polarization Measuring Device and method for fabricating semiconductor device using thereof
FR3128779B1 (en) 2021-11-02 2024-03-01 Commissariat Energie Atomique METROLOGY STRUCTURE
WO2023096704A1 (en) * 2021-11-27 2023-06-01 Kla Corporation Improved targets for diffraction-based overlay error metrology
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
US20230228692A1 (en) * 2022-01-18 2023-07-20 Kla Corporation Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
WO2023170692A1 (en) * 2022-03-10 2023-09-14 Nova Ltd. System and method for determining parameters of patterned structures from optical data
TWI809931B (en) * 2022-04-08 2023-07-21 南亞科技股份有限公司 Method for fabricating semiconductor device structure with overlay mark
US11800212B1 (en) * 2022-04-08 2023-10-24 Kla Corporation Multi-directional overlay metrology using multiple illumination parameters and isolated imaging
WO2023213503A1 (en) * 2022-05-06 2023-11-09 Asml Netherlands B.V. Method and system of overlay measurement using charged-particle inspection apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6350548B1 (en) * 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US20020054290A1 (en) * 1997-09-22 2002-05-09 Vurens Gerard H. Optical measurment system using polarized light
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20030011786A1 (en) * 2000-09-20 2003-01-16 Ady Levy Methods and systems for determining overlay and flatness of a specimen

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3019A (en) * 1843-03-30 Hatching chickens
US3000A (en) * 1843-03-10 Improvement in sugar-boilers
US2015A (en) * 1841-03-26 Improvement in rotary steam-engines
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
JPS5212577A (en) * 1975-07-21 1977-01-31 Nippon Kogaku Kk <Nikon> Automatic location device
DE2627609A1 (en) * 1976-06-19 1977-12-29 Ibm Deutschland INTERFEROMETRIC PROCEDURE
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
JPH0632323B2 (en) 1983-12-13 1994-04-27 株式会社日立製作所 Semiconductor laser device
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3419463C1 (en) 1984-05-24 1985-09-12 Sagax Instrument AB, Sundbyberg Device for recording material properties of sample surfaces
US4828392A (en) * 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
DE3530439A1 (en) * 1985-08-26 1987-02-26 Siemens Ag DEVICE FOR ADJUSTING A MASK PROVIDED WITH AT LEAST ONE ADJECTOR TOKET WITH A SEMICONDUCTOR THAT IS PROVIDED WITH AT LEAST ONE GRID STRUCTURE
JPS62190725A (en) * 1986-02-17 1987-08-20 Tokyo Electron Ltd Alignment system by means of double diffraction grating
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
KR900004269B1 (en) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) * 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPH0819176B2 (en) 1987-04-03 1996-02-28 三菱化学株式会社 Olefin polymerization catalyst
JPS63260045A (en) * 1987-04-17 1988-10-27 Hitachi Ltd Vernier pattern
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
JP2683385B2 (en) * 1988-10-21 1997-11-26 オリンパス光学工業株式会社 Alignment method and alignment device
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
FR2640040B1 (en) 1988-12-05 1994-10-28 Micro Controle OPTICAL MEASUREMENT METHOD AND DEVICE
JPH02192114A (en) * 1989-01-20 1990-07-27 Canon Inc Aligner
NL8900991A (en) * 1989-04-20 1990-11-16 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JP2704002B2 (en) * 1989-07-18 1998-01-26 キヤノン株式会社 Position detection method
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
DE4000785A1 (en) * 1990-01-12 1991-07-18 Suess Kg Karl ADJUSTMENT TOKENS FOR TWO OBJECTS TO BE ADJUSTED
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
JPH0453220A (en) * 1990-06-20 1992-02-20 Nikon Corp Projection optical device
JP2897355B2 (en) * 1990-07-05 1999-05-31 株式会社ニコン Alignment method, exposure apparatus, and position detection method and apparatus
US5185610A (en) * 1990-08-20 1993-02-09 Texas Instruments Incorporated GPS system and method for deriving pointing or attitude from a single GPS receiver
US5343292A (en) * 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH06137830A (en) * 1992-10-23 1994-05-20 Canon Inc Interference measuring method and its device
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) * 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
JP3082516B2 (en) * 1993-05-31 2000-08-28 キヤノン株式会社 Optical displacement sensor and drive system using the optical displacement sensor
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
EP0746781A1 (en) 1993-07-09 1996-12-11 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5355306A (en) * 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
JP2595885B2 (en) * 1993-11-18 1997-04-02 日本電気株式会社 Semiconductor device and manufacturing method thereof
TW256914B (en) * 1994-05-27 1995-09-11 Ibm Servo-writing system for use in a data recording disk drive
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
JPH08233555A (en) * 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd Method and apparatus for measuring resist pattern
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
JP3859764B2 (en) * 1995-06-27 2006-12-20 株式会社ルネサステクノロジ Overlay accuracy measurement mark, defect correction method for the mark, and photomask having the mark
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JPH09244222A (en) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp Reticle for measuring superposition error, method for measuring superposition error by using the reticle and mark for measuring superposition error
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JP4306800B2 (en) * 1996-06-04 2009-08-05 ケーエルエー−テンカー テクノロジィース コーポレイション Optical scanning system for surface inspection
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5700732A (en) * 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
US5966201A (en) 1996-11-07 1999-10-12 Nikon Corporation Mark for position detection, and mark detecting method and apparatus
US6013355A (en) * 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
JP3527063B2 (en) 1997-06-04 2004-05-17 株式会社ルネサステクノロジ Method for manufacturing semiconductor device
JPH1186332A (en) 1997-09-01 1999-03-30 Toshiba Corp Optical head device
JPH11102851A (en) * 1997-09-26 1999-04-13 Mitsubishi Electric Corp Method for correcting alignment and production of semiconductor device
JP3948084B2 (en) * 1997-11-14 2007-07-25 ソニー株式会社 Accuracy measurement method for electron beam drawing
JPH11241908A (en) * 1997-12-03 1999-09-07 Canon Inc Position detecting apparatus and manufacture of device employing the same
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
WO2000024057A1 (en) * 1998-10-20 2000-04-27 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with a grating and an at least partially recessed oxide pattern
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2000323381A (en) * 1999-05-07 2000-11-24 Nikon Corp Exposure method and device
GB9914711D0 (en) * 1999-06-23 1999-08-25 Leck Michael J Electronic seal,methods and security system
US7123358B2 (en) * 1999-07-19 2006-10-17 Chemimage Corporation Method for Raman imaging of semiconductor materials
TW558642B (en) 1999-08-02 2003-10-21 Zetetic Inst Scanning interferometric near-field confocal microscopy
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
JP2001093822A (en) * 1999-09-24 2001-04-06 Nikon Corp Method for mask alignemnt and aligner using the same
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001272208A (en) * 2000-03-27 2001-10-05 Toshiba Corp Superposing deviation inspection apparatus, and mark for inspecting superposing deviation and superposing deviation inspection method
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
JP2002247283A (en) * 2001-02-16 2002-08-30 Fuji Photo Film Co Ltd Image forming device
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
KR100536646B1 (en) 2001-03-02 2005-12-14 액센트 옵티칼 테크놀로지스 인코포레이티드 Line profile asymmetry measurement using scatterometry
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
US6999164B2 (en) * 2001-04-26 2006-02-14 Tokyo Electron Limited Measurement system cluster
JP3970106B2 (en) * 2001-05-23 2007-09-05 エーエスエムエル ネザーランズ ビー.ブイ. Substrate comprising alignment marks in a substantially transparent process layer, a mask for exposing the marks, and a device manufacturing method
US6730444B2 (en) 2001-06-05 2004-05-04 Micron Technology, Inc. Needle comb reticle pattern for critical dimension and registration measurements using a registration tool and methods for using same
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6713753B1 (en) * 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
DE10142316A1 (en) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Semiconductor structure and method for determining critical dimensions and overlay errors
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6867870B1 (en) * 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
JP4938219B2 (en) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション Parametric profiling using optical spectroscopy systems
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7373411B2 (en) * 2002-02-01 2008-05-13 Hewlett-Packard Development Company, L.P. Configuration information generation system and method
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
IL148566A (en) * 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for overlay measurement
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
KR100632889B1 (en) * 2002-09-20 2006-10-13 에이에스엠엘 네델란즈 비.브이. Alignment system and methods for lithographic systems using at least two wavelengths
EP1400855A3 (en) * 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
JP4746987B2 (en) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6888632B2 (en) * 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
JPWO2004107415A1 (en) * 2003-05-28 2006-07-20 株式会社ニコン Position information measuring method and apparatus, and exposure method and apparatus
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
ATE476687T1 (en) * 2003-12-19 2010-08-15 Ibm DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND SUPERPOSITION
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
WO2006023612A2 (en) * 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US20020054290A1 (en) * 1997-09-22 2002-05-09 Vurens Gerard H. Optical measurment system using polarized light
US6350548B1 (en) * 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20030011786A1 (en) * 2000-09-20 2003-01-16 Ady Levy Methods and systems for determining overlay and flatness of a specimen
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE45245E1 (en) 2000-08-30 2014-11-18 Kla-Tencor Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US9182680B2 (en) 2000-08-30 2015-11-10 Kla-Tencor Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US9347879B2 (en) 2000-08-30 2016-05-24 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN108036737A (en) * 2017-12-12 2018-05-15 南京信息工程大学 A kind of device and method of the fast illuminated detection reflecting surface face shape of Whole-field illumination

Also Published As

Publication number Publication date
US20040233439A1 (en) 2004-11-25
US7876440B2 (en) 2011-01-25
US20080094630A1 (en) 2008-04-24
JP2014042069A (en) 2014-03-06
US7301634B2 (en) 2007-11-27
JP5675936B2 (en) 2015-02-25
US20090284744A1 (en) 2009-11-19
WO2004076963A2 (en) 2004-09-10
US20040233443A1 (en) 2004-11-25
JP2017227934A (en) 2017-12-28
JP5469688B2 (en) 2014-04-16
US20040233440A1 (en) 2004-11-25
US20040257571A1 (en) 2004-12-23
US7242477B2 (en) 2007-07-10
US7564557B2 (en) 2009-07-21
US7385699B2 (en) 2008-06-10
JP2006518942A (en) 2006-08-17
US7298481B2 (en) 2007-11-20
JP2015052602A (en) 2015-03-19
US7379183B2 (en) 2008-05-27
EP1601931A2 (en) 2005-12-07
EP1601931B1 (en) 2011-04-06
US7933016B2 (en) 2011-04-26
US7663753B2 (en) 2010-02-16
US20080049226A1 (en) 2008-02-28
US7289213B2 (en) 2007-10-30
US7317531B2 (en) 2008-01-08
JP6668533B2 (en) 2020-03-18
ATE504862T1 (en) 2011-04-15
US20100091284A1 (en) 2010-04-15
DE602004032117D1 (en) 2011-05-19
JP2016106269A (en) 2016-06-16
US20040233441A1 (en) 2004-11-25
JP2012089896A (en) 2012-05-10
JP5280507B2 (en) 2013-09-04
JP4932949B2 (en) 2012-05-16
JP6553145B2 (en) 2019-07-31
US20040233444A1 (en) 2004-11-25
US20040169861A1 (en) 2004-09-02
EP1601931A4 (en) 2007-08-29
JP2019091094A (en) 2019-06-13
US7433040B2 (en) 2008-10-07
JP4789798B2 (en) 2011-10-12
US20040233442A1 (en) 2004-11-25
US20080024766A1 (en) 2008-01-31
JP2012032408A (en) 2012-02-16
US7280212B2 (en) 2007-10-09
JP2011155302A (en) 2011-08-11

Similar Documents

Publication Publication Date Title
WO2004076963A3 (en) Apparatus and method for detecting overlay errors using scatterometry
WO2007126559A3 (en) Apparatus and method for detecting overlay errors using scatterometry
Meng et al. Detecting bridge dynamics with GPS and triaxial accelerometers
US8098150B2 (en) Method and system for locating devices with embedded location tags
US7773226B2 (en) Web inspection calibration system and related methods
WO2005029193A3 (en) Interferometric analysis of surfaces.
WO2008123510A1 (en) Displacement measuring method, displacement measuring apparatus and target for displacement measurement
KR20100083834A (en) Method for ascertaining rethe pressure and the profile depth in a vehicle tyre
WO2006125131A3 (en) Analyzing low-coherence interferometry signals for thin film structures
JP2006518942A5 (en)
CN107167166A (en) The method and device of motion compensation in interference-type sensor-based system
WO2005114096A3 (en) Methods and systems for determining optical properties using low-coherence interference signals
CA2561923A1 (en) Measuring apparatus and method in a distribution system
EP2458441A3 (en) Measuring method, apparatus and substrate
TW200728684A (en) Self referencing heterodyne reflectometer and method for implementing
JP2014507667A (en) Method for ultrasonic clamp-on flow measurement and apparatus for carrying out the method
WO2007056772A3 (en) Modulation cancellation method in laser spectroscopy
FR2823300B1 (en) PLANEITY DEFECT DETECTION METHOD
GB2416835C (en) Method and apparatus for studying surfaces
JP2006194720A (en) Separate type encoder and method for attaching the same
FR2904412B1 (en) METHOD FOR DETERMINING TWO QUADRATURE SIGNALS
WO2003014660A1 (en) Displacement detecting method, displacement detecting device and calibrating method thereof, and recording device of information recording medium original disk
HUP0203713A2 (en) Angle meter with offset compensation and method for compensation of offset of an anglemeter
KR102181545B1 (en) Apparatus for measuring the surface of an object having a circular cross-sectional shape and Measuring Method Using Same
KR102156999B1 (en) Apparatus for measuring the surface of an object having a linear cross-sectional shape and Measuring Method Using Same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006503834

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004713795

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004713795

Country of ref document: EP