WO2004090980A2 - Overlay metrology mark - Google Patents

Overlay metrology mark Download PDF

Info

Publication number
WO2004090980A2
WO2004090980A2 PCT/GB2004/001577 GB2004001577W WO2004090980A2 WO 2004090980 A2 WO2004090980 A2 WO 2004090980A2 GB 2004001577 W GB2004001577 W GB 2004001577W WO 2004090980 A2 WO2004090980 A2 WO 2004090980A2
Authority
WO
WIPO (PCT)
Prior art keywords
mark
overlay
structures
accordance
mark portion
Prior art date
Application number
PCT/GB2004/001577
Other languages
French (fr)
Other versions
WO2004090980A3 (en
Inventor
Michael John Hammond
Gregory Allyn Kaiser
Nigel Peter Smith
Original Assignee
Aoti Operating Company, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB0308086A external-priority patent/GB0308086D0/en
Priority claimed from GB0308085A external-priority patent/GB0308085D0/en
Application filed by Aoti Operating Company, Inc. filed Critical Aoti Operating Company, Inc.
Publication of WO2004090980A2 publication Critical patent/WO2004090980A2/en
Publication of WO2004090980A3 publication Critical patent/WO2004090980A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/5442Marks applied to semiconductor devices or parts comprising non digital, non alphanumeric information, e.g. symbols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

An overlay metrology mark for determining the relative position between two or more layers of an integrated circuit structure comprising a first mark portion associated with a first layer and a second mark portion associated with a second layer, wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures laid down such that the mark portions have patterns exhibiting rotational symmetry with the axes coincident when the mark is in correct alignment whereby the first mark portion overlays the second mark portion when in correct alignment to create an overlap region, but wherein the periodic array of the first mark portion is systematically shifted relative to the periodic array of the second mark portion to generate a Moiré fringe effect in at least a part of the overlap region. A method of marking and a method of determining overlay error are also described.

Description

Overlay Metrology Mark
The invention relates to overlay metrology during semiconductor device fabrication, and in particular to an overlay alignment mark to facilitate alignment and or measure the alignment error of two layers on an integrated circuit structure during its fabrication.
Modern semiconductor devices, such as integrated circuits, are typically fabricated from wafers of semiconductor material. In particular, a wafer is fabricated comprising a succession of patterned layers of semiconductor material.
Circuit patterns are fabricated using a variety of long established techniques, for example making use of lithographic techniques. Precise positioning and alignment during fabrication is of great significance in the manufacture of accurate patterns. For example, alignment control of the exposure tool is important in ensuring a consistent process. Alignment methodologies are established in this regard, in which statistical and modelling techniques are used to determine the alignment of a reticle with a pattern created by or in association with the exposure tool to facilitate alignment of the exposure tool. The technique typically exploits images generated within the exposure tool optics, or projected onto the wafer by the exposure tool optics. Similar model- based and statistical methods have been employed to align for example an exposure tool during pattern fabrication.
Although such alignment technology has an established utility, and is important in device fabrication, it relates to alignment of fabrication tooling only. This can be a limitation in relation to semiconductor structures comprised of a succession of pattern layers of semiconductor material where it is desirable in relation to such wafers to provide a methodology enabling a determination of the misregistration between fabricated layers themselves.
Overlay metrology in semiconductor device fabrication is used to determine how well one printed layer is overlaid on a previously printed layer. Close alignment of each layer at all points within the device is crucial for reaching the design goals and hence the required quality and performance of the manufactured device. It is consequently of importance for the efficiency of the manufacturing process that any alignment error between two patterned layers on a wafer, especially successive patterned layers can be measured quickly and accurately. It is similarly important to be able to measure any alignment error between successive exposures in the same layer, and where reference is made herein for convenience to two layers it will be understood where appropriate to apply equally to two exposures in the same layer.
Misregistration between layers is referred to as overlay error. Overlay metrology tools are used to measure the overlay error. This information may be fed into a closed loop system to correct the overlay error. Overlay metrology tecliniques thus involve the direct measurement of misregistration between patterns provided in direct association with each of the fabricated layers under investigation. In particular, patterns are developed in or on the surface of each of the layers, or may be latent images, rather than images generated within or projected from the optics of an imaging instrument.
Current overlay metrology employs optically readable target patterns, printed onto the successive layers of a semiconductor wafer during fabrication. The relative displacement of two successive layers is measured by imaging the patterns at high magnification, digitizing the images, and processing the image data using various known image analysis algorithms to quantify the overlay error.
The pattern of the target mark may be applied to the wafer by any suitable method. In particular, it is often preferred that the mark is printed onto the wafer layers for example using photolithographic methods. Typically, the same technique is used to apply overlay target marks on each of two wafer layers to be tested to enable alignment information to be measured which is representative of the alignment of the layers. Accuracy of layer alignment should correspond to accuracy of circuit pattern alignment within the fabricated wafer.
The error in the measurement of overlay error must be substantially smaller than the size of the smallest marks produced in the photolithographic process. Current methodologies use specific features which are printed in parts of the field that are not used for the circuit under production. The positions of these features are well defined and the relative displacements of the features gives the overlay error.
The features are usually symmetrical and share a common centre of symmetry. For each measurement two features are printed, one in the current layer and one in a previous layer, or one in association with each pattern in a common layer. The two features have a nominally common centre, so that the overlay measurement effectively becomes a differential measurement of the actual measured displacement of the centres of the two targets. The optical systems that are employed to measure the displacements are symmetrical by design, and the marks are placed on the symmetry axis for measurement. This ensures that the relative positions of the centres of symmetry of the reference mark and the overlayed mark are not distorted by the optical system. Current preferred practice is that the size of the targets is designed such that both can be imaged simultaneously by a bright-field microscope. Imaging considerations determine that the larger of the two targets is typically a 25 μm square on the outside. This arrangement permits capture of all of the necessary data for the performance of the measurement from a single image. Measurements at a rate of one in every two seconds or less are possible using current technology.
A significant difficulty with current methodologies arises from the scale of the measurement. Measurements are required with errors less than about 5 nm even with current mark sizes. There is a general desire to reduce mark dimensions as technology advances. Unfortunately, symmetry of the optical system at a sufficient level is difficult to achieve, with displacement of some of the optical surfaces of the order of 2 μm having the effect of producing errors in the overlay measurement greater than the tolerance. This makes production of an overlay metrology tool a demanding task.
It is an object of the invention to provide an overlay mark and a method of provision of a mark on a semiconductor structure during the fabrication process that mitigate some or all of the above disadvantages and in particular that exploits the potential of precise mark structures within the resolution limits of typical optical systems.
In accordance with the invention at its broadest concept an overlay metrology mark for determining the relative position between two or more layers of an integrated circuit structure comprises a first mark portion associated with a first layer and a second mark portion associated with a second layer, wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures, which mark structures are laid down such that the mark portions have patterns exhibiting rotational symmetry with the axes coincident when the mark is in correct alignment whereby the first mark portion overlays the second mark portion when in correct alignment to create an overlap region, but wherein the periodic array of the first mark portion is systematically shifted relative to the periodic array of the second mark portion to generate a Moire fringe effect in at least a part of the overlap region.
It should be emphasised that a mark in accordance with the invention is an overlay metrology mark, in which a mark portion is directly associated with each of the first and second layer to provide a directly measurable indication of the misregistration or overlay error between the layers under investigation.
In particular, each mark portion is preferably developed in or on the surface of the wafer layer in such direct association. For example, each mark portion may be printed onto the wafer layer, for example using the same technique which is used to apply the circuit pattern, and for example using photolithographic methods. Alternatively, a mark may be a latent image. The two mark portions, comprising the complete overlay metrology mark, are imaged together to generate the Moire fringe effect in accordance with the invention in at least a part of the overlap region.
Each mark portion is associated with a layer under test, so that the measured overlay error is representative of the misalignment between the respective layers. Overlay metrology marks in accordance with the invention are suited to measurement of overlay errors between layers, in particular but not limited to consecutive layers. The first mark portion is laid down upon a first lower layer, and the second mark portion is laid down upon a second layer above the said first layer, in particular on an uppermost layer, such that the test structures of the lower layer are detectable through the upper layer. The upper mark portion serves as an alignment marking, and the lower mark portion as the reference marking.
The mark portions are laid down such that the centroids thereof are coincident when the mark is in correct alignment. The mark portions have patterns exhibiting rotational symmetry. The patterns have a periodicity below the resolution limit of the optical imaging system in use The mark portions are geometrically equivalent, in this context meaning that each mark element has the same basic geometry, but that the mark portions may differ in number of constitutent elements, pitch between elements etc. The mark portions are therefore arranged with the axes coincident when the mark is in correct alignment. The coincident centroids will in use preferably correspond to the optic axis of the imaging device.
A fringe pattern is generated out from the centroids, the systematic shift of the relative periodic array of the first mark portion relative to the periodic array of the second mark portion being selected such that the period length of such a fringe pattern is significantly longer than the period length of a repeat between adjacent mark structures in either array. In accordance with the invention a pattern can thus be generated which is characteristic of the overlay error, without measuring displacements as such. In this respect the technique differs fundamentally from conventional overlay metrology.
The method by which this is achieved from marks in accordance with the invention uses the effect where the reflectance of two superimposed periodic structures with similar pitch will differ depending on the relative alignment of the periodic structures, i.e. if the periodic structures are arranged so that the features of one overlay like features of the other (which we can call "in phase"), the reflectance will not be the same as when the features overlay the different features (which we can call "out of phase"). The two mark areas are preferably substantially coincident in extent (i.e. the overlap area as above defined is essentially the entire extent of the two mark areas).
It has been noted that optimal performance depends on measurement being centred on the optic axis of the imaging device and on each of the first and second mark portions being symmetrically disposed about the X-Y mirror axes of the imaging system in use. It can also be useful if the overall mark displays rotational symmetry about a point serving as the optic axis of the imaging device in use. Two embodiments are proposed to facilitate this preferred feature of the invention.
In a first embodiment each of the first and second mark portions comprise a single mark area comprising a plurality of individual mark structures periodically disposed outwardly from a centroid of the mark area, such that the overall pattern exhibits rotational symmetry about the centroid, wherein the periodicity of the individual mark structures making up the first mark portion differs from the periodicity of the individual mark structures making up the second mark portion. The centroids will be common when the overall mark is properly aligned, and on the optic axis of the imaging apparatus in use.
Using the moire effect, where periodic structures of slightly different pitch are overlaid, there will be regions for which alignment is in phase and regions for which the alignment is out of phase within the pair of structures. This will cause a characteristic pattern of reflectance across the whole overlay mark composite. The rate of movement of the fringe pattern with relative displacement of one periodic mark portion structure with respect to the other is much more rapid than the rate of displacement of the two mark portion structures themselves. The essential advantage in this approach is that the displacements of the patterns that are being registered by the optical system are greater than the displacements of the photolithographic layers. The magnifying effect implies that the relative effect of optical distortions will be that much less, allowing an order of magnitude or more improvement of accuracy.
The desired preferred geometry of the mark is that it exhibits mirror symmetry in paired orthogonal directions. In this possible embodiment this is effective in that the mark exhibits rotational symmetry which is at least two-fold, and preferably at least four-fold, to enable registration to be quantified effectively in two dimensions.
In a first alternative, the mark structures may comprise concentric polygons, for example triangles, squares, hexagons or octagons, circles, or elongate portions thereof.
Linear moire structures are generally easier to fabricate, but suffer from the fact that there will be aliasing. This means that the pattern will change for small displacements, but once the displacement is equal to one whole pitch, the pattern will be identical with the starting pattern. In order to overcome this, in a preferred embodiment, structures consisting of curved lines can be formed. In particular the mark comprises periodic arcuate structures, for example confocal or concentric, preferably comprising a series of concentric part-circles, and more preferably concentric complete or substantially complete circles.
Each mark area comprises a plurality of individual mark structures periodically displaced relative to each other. In one possible embodiment this is effected in that the mark structures are disposed outwardly from a centroid of the mark area. The periodicity of the individual mark structures making up the first mark portion differs from the periodicity of the individual mark structures making up the second mark portion. In one alternative, the period between adjacent mark structures is constant across a given mark area, said constant period differing by a fixed scaling factor between the two mark areas (i.e. the structures have different constant pitch). In another alternative, the period between adjacent mark structures varies functionally with location from the centroid across a given mark area (for example increasing in linear or other manner with distance from the centroid), in which case the same function is applied to the period in both mark areas, subject to a fixed scaling factor to produce the period difference between the two mark areas.
The scaling factor is preferably set such that between the centroid and the perimeter of the overlap between the mark areas one mark area has N pattern repeats more than the other, N being an integer. N might be set to 1 so that a single fringe period is generated. Other scalings might be suitable for other implementations of the invention.
Nevertheless, linear structures are easier to fabricate. In an alternative embodiment therefore, the mark area may comprise a plurality of mark zones, each zone based on linear geometry, each comprising a plurality of generally parallel elongate mark structures. The mark zones together give the overall mark, with relative displacement between marks in the two layers of each zone generated by variations in period within each zone.
Mark zones are provided preferably oriented in an X direction and perpendicularly thereto in a Y direction. Marks exhibiting symmetry are usually aligned in a known and consistent relationship relative to the crystal lattice of the wafer. Where this defines "X" and "Y" directions these are conveniently used as reference directions for the imaging apparatus. The "X" and "Y" planes are more specifically relevant to the wafer than they are to the optics, but it is normal to choose to align the wafer such that "X" corresponds to the horizontal and "Y" to the vertical as viewed through the microscope. It is possible in principle to measure at any other orientation, but in this case advantages are conferred if the marks are arranged to have symmetry about what are conventionally termed the "X" and the "Y" axes, which allows the optimum performance to be obtained from the metrology apparatus.
To address aliasing, a plurality of zones in each orientation are preferably provided. For each of the x-direction and the y-direction, there is then more than one grating zone and the zones are characteristically different. The characteristic difference is in the change of pitch between the reference and overlay marks. This means that aliasing will occur in one of the gratings under different conditions to those that will produce aliasing in the other. This provides detection of the aliasing and allows the system to give unambiguous results over a much greater range of overlay error.
In a further alternative each of the first and second mark portions comprises a plural array of mark areas, each mark area comprising a plurality of individual periodically disposed mark structures, wherein each mark area of the first mark portion overlays a geometrically equivalent mark area on the second mark portion but laterally displaced relative thereto.
In this embodiment, fringes are generated by the lateral displacement, and it is not necessary, though not prohibited, for the periodicity to vary between a mark area of the first mark portion and a mark area of the second mark portion. Otherwise, the essential principles underlying the fringe effect are as described in relation to the first embodiment above.
Each mark area in this embodiment can in a first alternative be structured in like manner to the single mark area in the first embodiment, in particular in that mark structures are arranged with rotational symmetry about a mark area centroid, for example comprising concentric polygons, such as triangles, squares, hexagons or octagons, circles, or elongate portions thereof. The pattern arrangement in each of the mark areas making up a mark portion may be similar or different.
Alternatively the mark areas may again be based on linear geometry, each comprising a plurality of generally parallel elongate mark structures constituted as a mark zone or a plurality of mark zones as above described.
The plural array of mark areas may form a linear array, in pairs disposed laterally equidistant about a common centre, or may form a two dimensional array, symmetrical rotationally about a common centre, in particular comprising four such structures disposed as two linear pairs or with 90° rotational symmetry. Mark areas may be laterally spaced or adjacent.
The notional centres of the arrays making up the first and second mark portions will be common when the overall mark is properly aligned, and on the optic axis of the imaging apparatus in use, but with the mark areas of the first mark laterally displaced relative to the mark areas of the second mark to produce a fringe effect. Displacement directions are selected to give register measurement in both horizontal and vertical directions. Displacement between each mark area of the first mark portion and the corresponding mark area of the second mark portion is preferably set such that across the extent of the overlap between the mark areas the displacement equals (2N-l)/2 pattern repeats, N being an integer. Again N might be set to 1 so that a single fringe period is generated but other scalings might be suitable for other implementations of the invention.
The dimensions of each mark structure within each mark area will be optimally determined by and are therefore preferably set with reference to the limits of the marking method. For example, given the limitations of current technology, each mark structure might have a width of around 50 to 500 nm, most preferably around 100 - 200 nm. The lower limit is likely to reduce as the precision of marking methods increases. Spacing between mark structures in a periodic array will preferably be substantially the same as structure width to maximise the fringe effect. Periodicity should be less than the imaging resolution, for bright-field microscopy being less than about 500 nm
Each mark area preferably includes a large plurality of mark structures, that is a large plurality of period repeats between the centre and perimeter of the pattern, to enhance the fringe effect. This is subject to minimum limits of individual mark structure width and the overall scribe area dimension. If a single mark area is used, preferably the mark area includes at least 15 period repeats. If a plural array of laterally spaced mark areas is used, preferably each mark area includes at least 8 period repeats.
The individual mark structures making up the patterns of each mark area are preferably substantially identical in width, and each is preferably substantially of uniform width throughout their length.
The mark structures making up the pattern(s) comprising each mark portion may be laid down by any suitable technique known to those skilled in the art, in particular the photolithographic techniques well known in the art. Many of the advantages of existing target designs are retained. The measurements are made from a single image so that speed of measurement is not compromised. The measurement is made using an optical image, so that existing imaging tools can be used. However, as overlay error is quantified by analysing an image generated by the fringe effect, not by direct measurement of misalignment of the individual mark structures, much greater sensitivity is offered. This disclosure describes a mark and a method for producing a pattern which is characteristic of the overlay error, without measuring displacements as such.
In a preferred embodiment a recognition key is provided for use in association with an overlay mark as hereinbefore described. In accordance with the embodiment an identification portion is provided in association with a first mark portion, comprising a simple optically readable mark divided into a small number of pattern areas in each of which areas a marking may be present or absent, the pattern of such markings providing a unique identification key so as to serve to identify the first mark portion.
An identification portion in accordance with the invention is associated with the alignment mark and gives a simple digital identification of the alignment mark, ensuring the correct mark is selected. The identification portion thus acts as a pattern recognition key.
A similar identification portion may be associated with other marks on a wafer, whereby the embodiment of the invention comprises an overlay metrology mark system for the whole wafer ensuring the correct marks are selected at all times. The probability of locating the wrong overlay metrology mark can be reduced by varying the pattern in adjacent marks, increasing the distance to a potentially confusing pattern recognition key.
In particular, the identification portion is laid down with the first mark portion, for example at the same time and for example on the same layer. The identification portion is conveniently located proximal to the first mark portion, for example comprising a part thereof.
The recognition key comprises a simple pattern exhibiting a small number of discrete alternative shapes to give a digital identifier. The pattern is adapted to be optically readable by standard imaging equipment at the same time as the primary alignment mark is imaged, requiring no major equipment modification and only minimal modification to image analysis. The recognition key is preferably laid down by the same process as the primary mark, for example employing photolithographic techniques. However, the pattern making up the recognition key is designed to be optically imaged for recognition purposes only, and not for determination of alignment differences.
The structure can accordingly be made from structural element(s) which optimise this aspect, and might therefore be substantially larger than the structures making up the primary alignment mark.
The recognition key pattern comprises a small number of pattern areas, for example between four and eight, in each of which areas a marking may be present or absent, the pattern of such markings thus providing the unique identification. In particular, in each pattern area a marking is either substantially entirely present or substantially entirely absent. The arrangement of which pattern areas are present and which are absent gives the unique key. For example, for simplicity it might be preferable if a mark is absent in a single pattern area. Preferably, the recognition key pattern has a generally square or rectangular outline. This is particularly the case where the corresponding primary mark has generally square or rectangular symmetry. In particular, the horizontal and vertical directions of such a square or rectangular outline correspond to the horizontal and vertical directions of a similarly square or rectangular overlay mark, and in use with the x and y directions of symmetry in the optical imaging apparatus. As a consequence of this geometry, each pattern area is similarly preferably square or rectangular. The recognition key pattern then preferably comprises a linear or two-dimensional array of such pattern areas, for example consisting of between one and four such areas in each of a row and column direction, corresponding in use to the x and y directions in the optical imaging apparatus.
Each pattern area preferably has dimensions of between 1 and 4 μm, and particularly preferably comprises a 1 μm square. All pattern areas making up the recognition key pattern are preferably identically sized and shaped.
In particular, the key pattern comprises a square or rectangular area sub- divided into a two dimensional array of square or rectangular pattern areas. This gives a highly readable identification mark, maintaining the square or rectangular symmetry of many of the alignment marks with which it is intended to be used, and accordingly easily readable by the imagining equipment. Suitable overall pattern dimensions are from 2 to 8 μm, allowing pattern area dimensions of 1 to 2 μm for ease of imaging. In particular pattern areas are 1 to 2 μm squares.
In a particular embodiment the recognition key pattern comprises a square divided into four equal sub-square pattern areas as above described. Each sub- square pattern area is either present or absent in the recognition key pattern. Mostly preferably, the recognition key pattern comprises a generally L-shaped mark, wherein there are four such sub-square pattern areas in one of which a mark absent. The mark provides four distinct patterns (dependent upon the orientation of the L-shape) which are easily readable and distinguished. This is sufficient for many purposes.
It is well known that optimal performance depends on measurement being centred on the optic axis of the imaging device. Overlay marks are usually symmehic about this centre, with the overlay error being the measured displacement of the centres. Conveniently, to avoid introducing asymmetry, the recognition key may be located at the centre. Alternatively, a plurality of recognition keys are provided away from the centre.
In accordance with the present invention in a second aspect a method for providing an overlay metrology mark to determine the relative position between two or more layers of an integrated circuit structure comprises the steps of: laying down a first mark portion in association with a first layer; laying down a second mark portion in association with a second layer; wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures having patterns exhibiting rotational symmetry laid down with the axis coincident when the mark is in correct alignment but with the periodic array of the first mark portion systematically shifted relative to the periodic array of the second mark portion, and the first mark portion is positioned to overlay the second mark portion such that the relative systematic shift between the said periodic arrays generates a Moire fringe effect in at least a part of the overlap region indicative of the alignment. Similarly, in accordance with the present invention in a third aspect a method for determining the relative position between two or more layers of an integrated circuit structure comprises the steps of: laying down a first mark portion in association with a first layer; laying down a second mark portion in association with a second layer; wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures having patterns exhibiting rotational symmetry laid down with the axis coincident when the mark is in correct alignment but with the periodic array of the first mark portion systematically shifted relative to the periodic array of the second mark portion, and the first mark portion is positioned to overlay the second mark portion such that the relative systematic shifted between the said periodic arrays generates a Moire fringe effect in at least a part of the overlap region; applying a light source to generate such reflection fringes; optically imaging the fringe effect; collecting and digitizing the image; numerically analysing the digitized data to obtain a quantified measurement of the misalignment of the first and second mark portions.
It is important to emphasise that each mark portion making up the overlay metrology mark is laid down in direct association with the associated layer, and in particular is preferably developed within or on the surface of the said layer. For example each mark portion is printed on the said layer. Each mark portion is preferably laid down by a photolithographic process.
In a preferred embodiment of the method of the invention, the overlay metrology mark incorporates an identification mark serving as a recognition key as hereinbefore described. The method thus comprises, in association with the step of laying down of an alignment mark portion associated with a second layer, and for example contemporaneously therewith, laying down in association with the said mark portion an identification portion comprising a simple optically readable mark divided into a small number of pattern areas in each of which areas a marking may be present or absent, the pattern of such markings providing a unique identification key so as to serve to identify the alignment mark portion.
Optical imaging of the fringe effect is preferably carried out using imaging microscopy, and for example bright field microscopy. Other preferred features of the methods will be understood by analogy with the foregoing.
The invention will now be described by way of example only with reference to figures 1 to 3 of the accompanying drawings, in which:
Figure 1 is a general schematic of an overlay metrology mark in accordance with a first embodiment of the invention comprising single mark areas based on circular geometry;
Figure 2 is a general schematic of a mark in accordance with a further embodiment of the invention comprising single mark areas based on linear geometry;
Figure 3 is a general schematic of a mark in accordance with an alternative embodiment of the invention comprising plural arrays of mark areas based on circular geometry;
Figure 4 is a plan view of a suitable identification recognition key for use in accordance with a preferred embodiment of the invention. In each of the first three figures, the overlay metrology mark comprises a first or reference mark portion on a first lower layer and a second or alignment mark portion on a second layer above the first layer, for example an uppermost layer. The first mark portion is configured to be at least partially visible in conjunction with the second. The structures making up the two mark portions are differently shaded for ease of identification in the drawings, but this has no physical significance.
In each case the periodic structures making up the marks are formed using any suitable processes. Typically these will include lithographic processes that are generally known in the art. Misalignment is measured using imaging systems and image analysis techniques, which may be standard systems and tecliniques that are generally known in the art or systems and techniques modified to be optimized specific to the fringe effect in accordance with the invention.
Figure 1 illustrates a top plan view of an alignment mark according to one embodiment of the invention. The mark is shown in the intended configuration that results when the tested layers of a structure are in proper alignment. The mark consists of two mark portions, one on each layer.
Each mark portion is made up of a series of concentric circular lines. These may be produced with a "pitch" of a little more than the design-rule dimension for the process. This array of circles constitutes a disc of a suitable size so that pattern within the disc can be resolved by an optical microscope though the lines themselves cannot. The smallest circle is a dot (of design-rule dimensions) in the middle. The lower disc will form the reference mark. A second disc of concentric circles will form the overlayed mark. The two discs have the same diameter and constant pitch between circles. However the pitch is less in the overlayed mark than in the reference mark to the extent that there is one more line-space pair. The overlay has a square identification mark at the top left to be viewed by the bright field microscope together with the main overlay mark to ensure that the correct overlay is being imaged.
If these two marks are placed concentrically with each other, the structures are in phase at the centre of the discs and at the outside of the discs, but are out of phase at intermediate points. This forms a characteristic pattern. Small relative movements between the overlayed mark and the reference mark will give rise to different patterns which are unique to the value of the displacement.
Figure 2 illustrates a top plan view of an alignment mark according to a second embodiment of the invention. The mark consists of two mark portions, reference and overlay. The reference mark is shown Figure 2a, the overlaid mark in Figure 2b, and the overall mark in the intended configuration that results when the tested layers of a structure are in proper alignment in Figure 2c. Each mark is made up of a plurality of mark zones consisting of a grating array of elongate rectangular structures. The overlay has a square identification mark in the optic centre to be viewed by the bright field microscope together with the main overlay mark to ensure that the correct overlay is being imaged.
The mark consists of grating zones. There are grating zones for detection of overlay error in the x-direction and different zones for detection of overlay error in the y-direction. There is a slight difference in pitch between the reference mark and the overlayed mark in any given zone giving the moire effect.
The circular marks of figure 1 offer advantages in theory but some disadvantages in practice. Often circular marks cannot be readily made. Artefacts that are similar to the circular marks but made using dots and joined lines do not have the same detectivity as the true circular marks. Linear marks are easier to make but suffer from aliasing.
In the embodiment, for each of the x-direction and the y-direction, there is more than one grating region and the regions are characteristically different. The characteristic difference is in the change of pitch between the reference and overlay marks. This means that aliasing will occur in one of the gratings under different conditions to those that will produce aliasing in the other. This provides detection of the aliasing and allows the system to give unambiguous results over a much greater range of overlay error.
Figure 3 illustrates a top plan view of an alignment mark according to a third embodiment of the invention. The mark is shown in the intended configuration that results when the tested layers of a structure are in proper alignment. The mark consists of two mark portions, one on each layer, each comprising an array of four mark areas consisting of discs of concentric circles. The overlay mark has a square identification target in the optic centre to be viewed by the bright field microscope together with the main overlay mark to ensure that the correct overlay mark is being imaged.
In this implementation discs are made using design-rule sized circular features in like manner to figure 1. The discs in the reference mark and the overlayed mark are identical in the geometry of the circles. However, the mark consists of four such discs. There is a displacement between the disc in the overlayed mark and its counterpart in the in the reference mark. With a three-disc mark it is possible to have unambiguous patterns for any given displacement. More discs will add redundancy to the measurement.
The example shown has four discs arranged in a square array. In the four-disc mark the redundancy of information could be used to detect rotation of the overlayed mark with respect to the reference mark. The discs could also be provided in a generally linear array.
Metrology for the marks may performed by correlating the pattern with a previously compiled library of patterns calculated for each possible overlay error, or it may be performed by direct measurement of the position of the features of the pattern within the disc.
Figure 4a shows a basic recognition key suitable for use with the overlay metrology mark of the invention in accordance with a preferred embodiment thereof. The mark is shown in top plan view. Increasingly, new measurement structures do not provide an easy pattern recognition target as there is no isolated well-resolved image in the resist. The key comprises a specific mark printed in the resist layer. The mark consists of a 2 μm square mark area subdivided into a two by two array of 1 μm square pattern areas. Three of these are covered by the mark material and one absent. The effect is to produce a key comprising a 2 μm square from which one comer is omitted, giving a general L-shape.
Any corner may be omitted, allowing four unique pattern recognition targets to be created as illustrated in figure 4b. The simplicity of the design makes this easy to image, and easy to distinguish between the four targets, so that the key provides a clear digital identifier of a given overlay mark with which it is associated, and greatly assists in ensuring the correct overlay mark is imaged. Overlay targets can be positioned nearby but will be safe from pattern recognition error if the keys are different. The probability of locating the wrong target can be reduced by varying the omitted comer in adjacent targets, increasing the distance to a potentially confusing pattem recognition key.

Claims

1. An overlay metrology mark for use with an optical imaging system to determine the relative position between two or more layers of an integrated circuit structure comprising a first mark portion associated with a first layer and a second mark portion associated with a second layer, wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures of a periodicity below the resolution limit of the optical imaging system in use, which mark structures are laid down such that the mark portions have patterns exhibiting rotational symmetry with the axes coincident when the mark is in correct alignment whereby the first mark portion overlays the second mark portion when in correct alignment to create an overlap region, but wherein the periodic array of the first mark portion is systematically shifted relative to the periodic array of the second mark portion to generate a Moire fringe effect in at least a part of the overlap region.
2. An overlay metrology mark in accordance with claim 1 wherein each mark portion is developed within or on the said layer.
3. An overlay metrology mark in accordance with claim 2 wherein each mark portion is printed on the said layer by a microlithographic process.
4. An overlay metrology mark in accordance with any preceding claim wherein each of the first and second mark portions comprise a single mark area comprising a plurality of individual mark structures periodically disposed outwardly from a centroid of the mark area, such that the overall pattern exhibits rotational symmetry about the centroid, wherein the periodicity of the individual mark structures making up the first mark portion differs from the periodicity of the individual mark structures making up the second mark portion.
5. An overlay mefrology mark in accordance with claim 4 having a mark geometry that exhibits mirror symmetry in paired orthogonal directions in that the mark exhibits rotational symmetry which is at least two-fold, and preferably at least four-fold, to enable registration to be quantified effectively in two dimensions.
6. An overlay mefrology mark in accordance with claim 4 or 5 wherein the mark structures comprise concentric polygons or circles or elongate portions thereof.
7. An overlay mefrology mark in accordance with one of claims 4 to 6 wherein the mark structures making up the two mark portions are displaced relatively in that the mark structures are disposed outwardly from a centroid of the mark area in geometrically similar patterns in such manner that the periodicity of the individual mark structures making up the first mark portion differs from the periodicity of the individual mark structures making up the second mark portion by a fixed scaling factor.
8. An overlay mefrology mark in accordance with claim 7 wherein the scaling factor is set such that displacement of an individual mark structure is of around design rule magnitude.
9. An overlay metrology mark in accordance with claim 4 or 5 wherein the mark area comprises a plurality of mark zones, each zone based on linear geometry, each comprising a plurality of generally parallel elongate mark structures, with relative displacement between marks in the two layers of each zone generated by variations between the periodicity of marks in the first zone and the periodicity of marks in the second zone.
10. An overlay mefrology mark in accordance with claim 9 wherein mark zones are provided oriented in an x direction and perpendicularly thereto in a y direction, corresponding in use to the axes of symmetry of the imaging equipment, a plurality of zones in each orientation being provided with characteristically different geometries to allow for detection of and correction for aliasing effects.
11. An overlay mefrology mark in accordance with one of claims 1 to 3 wherein each of the first and second mark portions comprises a plural array of mark areas, each mark area comprising a plurality of individual periodically disposed mark structures, wherein each mark area of the first mark portion overlays a topologically equivalent mark area on the second mark portion but laterally displaced relative thereto.
12. An overlay mefrology mark in accordance with claim 11 wherein each mark area comprises an array of mark structures arranged with rotational symmetry about a mark area centroid, for example comprising concentric polygons, such as triangles, squares, hexagons or octagons, circles, or elongate portions thereof.
13. An overlay mefrology mark in accordance with claim 11 wherein each mark area is based on linear geometry, comprising a plurality of generally parallel elongate mark structures constituted as a mark zone or a plurality of mark zones.
14. An overlay mefrology mark in accordance with one of claims 11 to 13 wherein the plural array of mark areas forms a linear array, with mark areas in pairs disposed laterally equidistant about a common centre.
15. An overlay mefrology mark in accordance with one of claims 11 to 13 wherein the plural array of mark areas forms a two dimensional array, symmetrical rotationally about a common centre, in particular comprising four such structures disposed as two linear pairs or with four fold rotational symmetry.
16. An overlay mefrology mark in accordance with any preceding claim wherein each mark area includes a large plurality of mark structures, that is a large plurality of period repeats between the centre and perimeter of the pattern, to enhance the fringe effect.
17. An overlay mefrology mark in accordance with any preceding claim wherein the individual mark structures making up the patterns of each mark area are substantially identical in width, and each is substantially of uniform width throughout its length.
18. An overlay mefrology mark in accordance with any preceding claim wherein the test structures making up the array comprising each mark portion are microlithographic structures.
19. A method for providing an overlay mefrology mark to determine the relative position between two or more layers of an integrated circuit structure comprises the steps of: laying down a first mark portion in association with a first layer; laying down a second mark portion in association with a second layer; wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures having patterns exhibiting rotational symmetry laid down with the axis coincident when the mark is in correct alignment but with the periodic array of the first mark portion systematically shifted relative to the periodic array of the second mark portion, and the first mark portion is positioned to overlay the second mark portion such that the relative systematic shift between the said periodic arrays generates a Moire fringe effect in at least a part of the overlap region indicative of the alignment.
20. A method for determining the relative position between two or more layers of an integrated circuit structure comprises the steps of: laying down a first mark portion in association with a first; laying down a second mark portion in association with a second layer; wherein the first and second mark portions comprise geometrically similar periodic arrays of mark structures having patterns exhibiting rotational symmetry laid down with the axis coincident when the mark is in correct alignment but with the periodic array of the first mark portion systematically shifted relative to the periodic array of the second mark portion, and the first mark portion is positioned to overlay the second mark portion such that the relative systematic shifted between the said periodic arrays generates a Moire fringe effect in at least a part of the overlap region; applying a light source to generate reflection fringes; optically imaging the fringe effect; collecting and digitizing the image; numerically analysing the digitized data to obtain a quantified measurement of the misalignment of the first and second mark portions.
21. The method of claim 20 wherein optical imaging of the mark is preferably carried out using bright field microscopy.
22. The method of one of claims 19 to 21 wherein each mark portion is developed within or on the said layer.
23. The method of one of claims 19 to 22 wherein each mark portion is laid down by a microlithographic process.
24. A mark or method substantially as hereinbefore described with reference to the accompanying drawings.
PCT/GB2004/001577 2003-04-08 2004-04-08 Overlay metrology mark WO2004090980A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB0308086A GB0308086D0 (en) 2003-04-08 2003-04-08 Overlay alignment mark
GB0308086.8 2003-04-08
GB0308085.0 2003-04-08
GB0308085A GB0308085D0 (en) 2003-04-08 2003-04-08 Overlay alignment mark

Publications (2)

Publication Number Publication Date
WO2004090980A2 true WO2004090980A2 (en) 2004-10-21
WO2004090980A3 WO2004090980A3 (en) 2005-01-20

Family

ID=33161217

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/GB2004/001577 WO2004090980A2 (en) 2003-04-08 2004-04-08 Overlay metrology mark

Country Status (2)

Country Link
TW (1) TW200509355A (en)
WO (1) WO2004090980A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
WO2019113262A1 (en) 2017-12-07 2019-06-13 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
CN112201645A (en) * 2020-09-18 2021-01-08 武汉新芯集成电路制造有限公司 Overlay mark, overlay error measuring method of wafer and stacking method of wafer

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020168140A1 (en) * 2019-02-14 2020-08-20 Kla Corporation Method of measuring misregistration in the manufacture of topographic semiconductor device wafers

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3690881A (en) * 1970-09-28 1972-09-12 Bell Telephone Labor Inc Moire pattern aligning of photolithographic mask
US4343878A (en) * 1981-01-02 1982-08-10 Amdahl Corporation System for providing photomask alignment keys in semiconductor integrated circuit processing
US4664524A (en) * 1983-09-24 1987-05-12 The President Of Nagoya University Optical self-alignment system
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
EP0965889A2 (en) * 1998-06-15 1999-12-22 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US20010019401A1 (en) * 2000-02-29 2001-09-06 Nobuyuki Irie Exposure apparatus, microdevice, photomask, and exposure method
US20020080364A1 (en) * 2000-12-27 2002-06-27 Koninklijke Philips Electronics N.V. Method of measuring overlay
US20020158193A1 (en) * 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3690881A (en) * 1970-09-28 1972-09-12 Bell Telephone Labor Inc Moire pattern aligning of photolithographic mask
US4343878A (en) * 1981-01-02 1982-08-10 Amdahl Corporation System for providing photomask alignment keys in semiconductor integrated circuit processing
US4664524A (en) * 1983-09-24 1987-05-12 The President Of Nagoya University Optical self-alignment system
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
EP0965889A2 (en) * 1998-06-15 1999-12-22 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US20010019401A1 (en) * 2000-02-29 2001-09-06 Nobuyuki Irie Exposure apparatus, microdevice, photomask, and exposure method
US20020080364A1 (en) * 2000-12-27 2002-06-27 Koninklijke Philips Electronics N.V. Method of measuring overlay
US20020158193A1 (en) * 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
WO2019113262A1 (en) 2017-12-07 2019-06-13 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
EP3721294A4 (en) * 2017-12-07 2021-09-01 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
CN112201645A (en) * 2020-09-18 2021-01-08 武汉新芯集成电路制造有限公司 Overlay mark, overlay error measuring method of wafer and stacking method of wafer
CN112201645B (en) * 2020-09-18 2024-04-12 武汉新芯集成电路制造有限公司 Overlay mark, overlay error measurement method of wafers and stacking method of wafers

Also Published As

Publication number Publication date
TW200509355A (en) 2005-03-01
WO2004090980A3 (en) 2005-01-20

Similar Documents

Publication Publication Date Title
US7666559B2 (en) Structure and method for determining an overlay accuracy
US6486954B1 (en) Overlay alignment measurement mark
JP4926171B2 (en) Apparatus and method for determining overlay of rotationally symmetric or mirror-symmetric objects
EP1817545B1 (en) Interferometric analysis for the manufacture of nano-scale devices
KR101656123B1 (en) Imprint apparatus and method of manufacturing article
CN109828440B (en) Overlay mark based on diffraction and overlay error measuring method
US20070222088A1 (en) Overlay Metrology Mark
JP6496734B2 (en) Stage apparatus for semiconductor inspection and lithography systems
JPH0419545B2 (en)
TWI490634B (en) Method and calibration mask for calibrating a position measuring apparatus
EP1441258B1 (en) Alignment method and overlay inspection method
EP0652487A1 (en) Rotational deviation detecting method and system using a periodic pattern
JPH08288193A (en) Aligning method
US20070069398A1 (en) Overlay metrology mark
TWI501049B (en) Method and device for measuring the relative local position error of one of the sections of an object that is exposed section by section
WO2004090980A2 (en) Overlay metrology mark
JP4227470B2 (en) Position detection method
CN111508932B (en) Overlay mark and overlay error measuring method
US6330355B1 (en) Frame layout to monitor overlay performance of chip composed of multi-exposure images
JPH04333213A (en) Alignment mark
US6579650B2 (en) Method and apparatus for determining photoresist pattern linearity
US11927892B2 (en) Alignment method and associated alignment and lithographic apparatuses
KR100375290B1 (en) Method of analyzing factor responsible for errors in wafer pattern, and apparatus for producing photolithographic mask
CN110568729B (en) Aberration measuring device and method
EP1330681B1 (en) System and method for facilitating wafer alignment by mitigating effects of reticle rotation on overlay

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase