WO2004095551A1 - Method and apparatus for multilayer photoresist dry development - Google Patents

Method and apparatus for multilayer photoresist dry development Download PDF

Info

Publication number
WO2004095551A1
WO2004095551A1 PCT/US2004/001405 US2004001405W WO2004095551A1 WO 2004095551 A1 WO2004095551 A1 WO 2004095551A1 US 2004001405 W US2004001405 W US 2004001405W WO 2004095551 A1 WO2004095551 A1 WO 2004095551A1
Authority
WO
WIPO (PCT)
Prior art keywords
recited
time
period
gas
substrate
Prior art date
Application number
PCT/US2004/001405
Other languages
French (fr)
Inventor
Vaidyanathan Balasubramaniam
Koichiro Inazawa
Rich Wise
Arpan P. Mahorowala
Siddhartha Panda
Original Assignee
Tokyo Electron Limited
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/640,577 external-priority patent/US7344991B2/en
Application filed by Tokyo Electron Limited, International Business Machines Corporation filed Critical Tokyo Electron Limited
Priority to EP04704022A priority Critical patent/EP1609175A1/en
Priority to JP2006508615A priority patent/JP2006522480A/en
Publication of WO2004095551A1 publication Critical patent/WO2004095551A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • the present invention relates to a method and apparatus for plasma processing a substrate, and more particularly to a method for multilayer photoresist dry development.
  • a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate.
  • the plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure.
  • a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • RF radio frequency
  • ECR electron cyclotron resonance
  • the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate.
  • substrate materials where etching is required include silicon dioxide (SiO 2 ), low-k dielectric materials, poly-silicon, and silicon n
  • the present invention relates to a method and apparatus for plasma processing a substrate, and to a method and apparatus for multilayer photoresist dry development.
  • the present invention also relates to the multilayer mask itself.
  • a method and apparatus are described for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system.
  • a process gas comprising one or more gasses collectively containing ammonia (NH 3 ) and a passivation gas is introduced.
  • a plasma is formed from the process gas in the plasma processing system. The substrate is exposed to the plasma.
  • a method and apparatus are described for forming a bilayer mask for etching a thin film on a substrate.
  • the thin film is formed on the substrate.
  • An anti-reflective coating (ARC) layer is formed on the thin film.
  • a photoresist pattern is formed on the ARC layer.
  • the photoresist pattern is transferred to the ARC layer by etching the ARC layer using a process gas comprising one or more gasses collectively containing ammonia (NH 3 ) and a passivation gas.
  • a method of smoothing a sidewall in a multilayer mask on a substrate in a plasma processing system comprises: introducing a process gas comprising one or more gasses collectively containing ammonia (NH 3 ), and a passivation gas; forming a plasma from the process gas in the plasma processing system; and exposing the substrate to the plasma, wherein the passivation gas facilitates the formation of a passivation film on the sidewall of the multilayer mask in order to smooth surface roughness of the sidewall.
  • a process gas comprising one or more gasses collectively containing ammonia (NH 3 ), and a passivation gas
  • FIGs. 1A, 1B, and 1 C show a schematic representation of a typical procedure for pattern etching a thin film
  • FIG. 2 shows a simplified schematic diagram of a plasma processing system according to an embodiment of the present invention
  • FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention.
  • FIG. 4 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention.
  • FIG. 5 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention.
  • FIG. 6 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention.
  • FIG. 7 presents a method of etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system according to an embodiment of the present invention
  • FIG. 8 presents a method of forming a bilayer mask for etching a thin film on a substrate according to another embodiment of the present invention.
  • FIGs. 9A and 9B show a schematic representation of a multilayer mask.
  • pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate, that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching.
  • the patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro- lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non- irradiated regions (as in the case of negative resist) using a developing solvent.
  • Multi-layer masks can be implemented for etching features in a thin film.
  • a bilayer mask 6 comprising light- sensitive layer 3 with pattern 2 formed using conventional lithographic techniques and an organic anti-reflective coating (ARC) layer 7 can be utilized as a mask for etching the thin film 4 on substrate 5, wherein the mask pattern 2 in the light-sensitive layer 3 is transferred to the ARC layer 7 using a separate etch step preceding the main etch step for the thin film 4.
  • a process gas comprising ammonia (NH 3 ) and a passivation gas is utilized in a method of bilayer photoresist dry development.
  • the passivation gas can comprise a hydrocarbon gas such as at least one of C2H4, CH4, C 2 H , C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H-10, C5H8, C5H10, C ⁇ H ⁇ , C ⁇ Hio, C6H12, or the like.
  • a hydrocarbon gas such as at least one of C2H4, CH4, C 2 H , C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H-10, C5H8, C5H10, C ⁇ H ⁇ , C ⁇ Hio, C6H12, or the like.
  • the etching can be of substrate 5 itself, with or without thin film 4.
  • a plasma processing system 1 is depicted in FIG. 2 comprising a plasma processing chamber 10, a diagnostic system 12 coupled to the plasma processing chamber 10, and a controller 14 coupled to the diagnostic system 12 and the plasma processing chamber 10.
  • the controller 14 is configured to execute a process recipe comprising at least one of the above-identified chemistries to etch an organic ARC layer.
  • controller 14 is configured to receive at least one endpoint signal from the diagnostic system 12 and to post-process the at least one endpoint signal in order to accurately determine an endpoint for the process.
  • plasma processing system 1 depicted in FIG. 2, utilizes a plasma for material processing.
  • Plasma processing system 1 can comprise an etch chamber.
  • plasma processing system 1a can comprise plasma processing chamber 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30.
  • Substrate 25 can be, for example, a semiconductor substrate, a wafer or a liquid crystal display.
  • Plasma processing chamber 10 can be, for example, configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25.
  • An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted.
  • a control mechanism (not shown) can be used to throttle the vacuum pumping system 30.
  • Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25.
  • the plasma processing system 1a can be configured to process 200 mm substrates, 300 mm substrates, or larger.
  • Substrate 25 can be, for example, affixed to the substrate holder 20 via an electrostatic clamping system.
  • substrate holder 20 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can, for example, be delivered to the backside of substrate 25 via a backside gas system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • the backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25.
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 20, as well as the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1a.
  • substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in process space 15.
  • substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an impedance match network 50 to substrate holder 20.
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and an upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 0.1 MHz to 100 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • RF power is applied to the substrate holder electrode at multiple frequencies.
  • impedance match network 50 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ - type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • Vacuum pump system 30 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP is generally employed.
  • TMPs are useful for low pressure processing, typically less than 50 mTorr.
  • a mechanical booster pump and dry roughing pump can be used.
  • a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10.
  • the pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA).
  • Controller 14 comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1a as well as monitor outputs from plasma processing system 1a. Moreover, controller 14 can be coupled to and can exchange information with RF generator 40, impedance match network 50, the gas injection system (not shown), vacuum pump system 30, as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature measurement system (not shown), and/or the electrostatic clamping system (not shown). For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1a according to a process recipe in order to perform the method of etching an organic ARC layer.
  • the diagnostic system 12 can include an optical diagnostic subsystem (not shown).
  • the optical diagnostic subsystem can comprise a detector such as a (silicon) photodiode or a photomultiplier tube (PMT) for measuring the light intensity emitted from the plasma.
  • the diagnostic system 12 can further include an optical filter such as a narrow-band interference filter.
  • the diagnostic system 12 can include at least one of a line CCD (charge coupled device), a CID (charge injection device) array, and a light dispersing device such as a grating or a prism.
  • diagnostic system 12 can include a monochromator (e.g., grating/detector system) for measuring light at a given wavelength, or a spectrometer (e.g., with a rotating grating) for measuring the light spectrum such as, for example, the device described in U.S. Patent No. 5,888,337.
  • the diagnostic system 12 can include a high resolution Optical Emission Spectroscopy (OES) sensor such as from Peak Sensor Systems, or Verity Instruments, Inc.
  • OES Optical Emission Spectroscopy
  • Such an OES sensor has a broad spectrum that spans the ultraviolet (UV), visible (VIS), and near infrared (NIR) light spectrums.
  • the resolution is approximately 1.4 Angstroms, that is, the sensor is capable of collecting 5550 wavelengths from 240 to 1000 nm.
  • the OES sensor can be equipped with high sensitivity miniature fiber optic UV- VIS-NIR spectrometers which are, in turn, integrated with 2048 pixel linear CCD arrays.
  • the spectrometers receive light transmitted through single and bundled optical fibers, where the light output from the optical fibers is dispersed across the line CCD array using a fixed grating. Similar to the configuration described above, light passing through an optical vacuum window is focused onto the input end of the optical fibers via a convex spherical lens.
  • Three spectrometers each specifically tuned for a given spectral range (UV, VIS and NIR), form a sensor for a process chamber. Each spectrometer includes an independent A/D converter. And lastly, depending upon the sensor utilization, a full emission spectrum can be recorded every 0.1 to 1.0 seconds.
  • the plasma processing system 1b can, for example, be similar to the embodiment of FIG. 2 or 3 and further comprise either a stationary, or mechanically or electrically rotating magnetic field system 60, in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 2 and FIG. 3.
  • controller 14 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength.
  • the design and implementation of a rotating magnetic field is well known to those skilled in the art.
  • the plasma processing system 1c can, for example, be similar to the embodiment of FIG. 2 or FIG. 3, and can further comprise an upper electrode 70 to which RF power can be coupled from RF generator 72 through impedance match network 74.
  • a typical frequency for the application of RF power to the upper electrode can range from 0.1 MHz to 200 MHz.
  • a typical frequency for the application of power to the lower electrode can range from 0.1 MHz to 100 MHz.
  • controller 14 is coupled to RF generator 72 and impedance match network 74 in order to control the application of RF power to upper electrode 70.
  • the design and implementation of an upper electrode is well known to those skilled in the art.
  • the plasma processing system 1d can, for example, be similar to the embodiments of FIGs. 2 and 3, and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through impedance match network 84.
  • RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma processing region 15.
  • a typical frequency for the application of RF power to the inductive coil 80 can range from 10 MHz to 100 MHz.
  • a typical frequency for the application of power to the chuck electrode can range from 0.1 MHz to 100 MHz.
  • a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma.
  • controller 14 is coupled to RF generator 82 and impedance match network 84 in order to control the application of power to inductive coil 80.
  • inductive coil 80 can be a "spiral" coil or “pancake” coil in communication with the plasma processing region 15 from above as in a transformer coupled plasma (TCP) reactor.
  • ICP inductively coupled plasma
  • TCP transformer coupled plasma
  • the plasma can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the plasma is formed from the launching of a Helicon wave.
  • the plasma is formed from a propagating surface wave.
  • the plasma processing device can comprise various elements, such as described in FIGs. 2 through 6, and combinations thereof.
  • the method of etching an organic ARC layer comprises NH 3 and a hydrocarbon gas such as at least one of C 2 H 4 , CH 4 , C 2 H 2 , C 2 H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10.
  • a process parameter space can comprise a chamber pressure of 20 to 1000 mTorr, an NH 3 process gas flow rate ranging from 50 to 1000 seem, a hydrocarbon process gas flow rate ranging from 5 to 100 seem, an upper electrode (e.g., element 70 in FIG.
  • the upper electrode bias frequency can range from 0.1 MHz to 200 MHz, e.g., 60 MHz.
  • the lower electrode bias frequency can range from 0.1 MHz to 100 MHz, e.g., 2 MHz.
  • Chamber pressure 100 mTorr
  • Upper electrode RF power 1200 W
  • Lower electrode RF power 100 W
  • Table I reports results (for both metal contacts (MC) as well as contacts (CA)) such as thickness of the remaining photoresist following the ARC layer etch, top and bottom critical dimensions for the ARC feature, and the critical dimension bias, wherein the bias indicates the change in CD from top to bottom (i.e. negative bias indicates a CD reduction, and positive bias indicates a CD increase). Additionally, the data is reported at center and edge. The data demonstrates the success of the process in maintaining the CD as well as the potential for reducing the CD.
  • the process chemistry can further comprise Helium (He). The introduction of Helium to the process can relieve feature side-wall roughness.
  • the etch time can be determined using design of experiment (DOE) techniques; however, it can also be determined using endpoint detection.
  • DOE design of experiment
  • One possible method of endpoint detection is to monitor a portion of the emitted light spectrum from the plasma region that indicates when a change in plasma chemistry occurs due to substantially near completion of the ARC layer etching and contact with the underlying material film.
  • portions of the spectrum that indicate such changes comprise wavelengths of 387.2 nm (carbon-nitrogen (CN)), and can be measured using optical emission spectroscopy (OES). After emission levels corresponding to those frequencies cross a specified threshold (e.g., drop to substantially zero or increase above a particular level), an endpoint can be considered to be complete.
  • a specified threshold e.g., drop to substantially zero or increase above a particular level
  • Other wavelengths that provide endpoint information can also be used.
  • the etch time can be extended to include a period of over-etch, wherein the over-etch period constitutes a fraction (i.e. 1 to
  • FIG. 7 presents a flow chart of a method for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system according to an embodiment of the present invention.
  • Procedure 400 begins in 410 in which a process gas is introduced to the plasma processing system, wherein the process gas comprises ammonia (NH 3 ) containing gas, and a passivation gas.
  • a process gas comprises ammonia (NH 3 ) containing gas, and a passivation gas.
  • the passivation gas can comprise a hydrocarbon gas such as at least one of C 2 H 4 , CH 4 , C 2 H 2) C 2 H 6 , C3H4, C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C ⁇ He, C ⁇ HI O , and C ⁇ H ⁇ 2 .
  • the process gas can further comprise helium (He).
  • a plasma is formed in the plasma processing system from the process gas using, for example, any one of the systems described in FIGs. 2 through 6, or combinations thereof.
  • the substrate comprising the ARC layer is exposed to the plasma formed in 420.
  • procedure 400 ends.
  • the first period of time during which the substrate with the ARC layer is exposed to the plasma is generally dictated by the time required to etch the ARC layer, or the time required to transfer a photoresist pattern to the ARC layer.
  • the first period of time required to transfer a photoresist pattern through the thickness of the ARC layer is pre-determined.
  • the first period of time can be further augmented by a second period of time, or an over-etch time period.
  • the over-etch time can comprise a fraction of time, such as 1 to 100%, of the first period of time, and this over-etch period can comprise an extension of etching beyond the detection of endpoint.
  • FIG. 8 presents a method for forming a bilayer mask for etching a thin film on a substrate in a plasma processing system according to another embodiment of the present invention.
  • the method is illustrated in a flowchart 500 beginning in 510 with forming the thin film on the substrate.
  • the thin film can comprise an oxide layer, such as silicon dioxide (SiO 2 ), and it can be formed by a variety of processes including chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • an anti-reflective coating (ARC) layer is formed on the substrate overlying the thin film.
  • the ARC layer can, for example, be an organic ARC layer that is formed using conventional techniques such as a spin coating system.
  • a photoresist pattern is formed on the substrate overlying the ARC layer.
  • the photoresist film can be formed using conventional techniques, such as a photoresist spin coating system.
  • the pattern can be formed within the photoresist film by using conventional techniques such as a stepping micro-lithography system, and a developing solvent.
  • the photoresist pattern is transferred to the ARC layer in order to form the bilayer mask.
  • the pattern transfer is accomplished using a dry etching technique, wherein the etch process is performed in a plasma processing system that utilizes a process gas comprising ammonia (NH 3 ) containing gas, and a passivation gas.
  • the passivation gas can comprise a hydrocarbon gas such as at least one of C2H4, CH 4 , C 2 H 2 , C 2 H 6 , C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C ⁇ H ⁇ , C ⁇ HIO, and CeH ⁇ 2 -
  • the process gas as described above, can further comprise helium (He). Plasma is formed in the plasma processing system from the process gas using, for example, any one of the systems described in FIGs. 2 through 6, and the substrate comprising the ARC layer is exposed to the plasma formed.
  • a first period of time during which the substrate with the ARC layer is exposed to the plasma is generally dictated by the time required to etch the ARC layer, or the time required to transfer a photoresist pattern to the ARC layer.
  • the first period of time required to transfer a photoresist pattern through the thickness of the ARC layer is pre-determined.
  • the first period of time is further augmented by a second period of time, or an over-etch time period.
  • the over-etch time can comprise a fraction of time, such as 1 to 100%, of the first period of time, and this over-etch period can comprise an extension of etching beyond the detection of endpoint.
  • FIGs. 9A and 9B present a side view and a top view of an etched multilayer mask, respectively.
  • Feature 600 comprises sidewalls 610 through light-sensitive layer 640 and ARC layer 650 upon which surface roughness 620 is formed during etching.
  • the passivation gas facilitates the formation of a passivation film 630 to smooth the surface roughness 620 of the etched multilayer mask; see FIG. 9B.

Abstract

A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.

Description

METHOD AND APPARATUS FOR MULTILAYER PHOTORESIST DRY
DEVELOPMENT
Cross-reference to Related Applications
[0001] This application is related to and claims priority to United States provisional application serial no. 60/458,430 filed on March 31 , 2003, United States provisional application serial no. 60/484,225 filed on May 5, 2003, and United States non-provisional application serial no. 10/640,577 filed on August 14, 2003; the entire contents of which are herein incorporated by reference. This application is related to co-pending application 60/435,286, entitled "Method and Apparatus For Bilayer Photoresist Dry Development," filed on December 23, 2002; the entire contents of which are herein incorporated by reference.
Field of the Invention
[0002] The present invention relates to a method and apparatus for plasma processing a substrate, and more particularly to a method for multilayer photoresist dry development.
Background of the Invention
[0003] During semiconductor processing, a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate. The plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure. Thereafter, a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry. Once the plasma is formed, selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate. Such substrate materials where etching is required include silicon dioxide (SiO2), low-k dielectric materials, poly-silicon, and silicon nitride.
Summary of the Invention
[0004] The present invention relates to a method and apparatus for plasma processing a substrate, and to a method and apparatus for multilayer photoresist dry development. The present invention also relates to the multilayer mask itself.
[0005] In one aspect of the invention, a method and apparatus are described for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system. A process gas comprising one or more gasses collectively containing ammonia (NH3) and a passivation gas is introduced. A plasma is formed from the process gas in the plasma processing system. The substrate is exposed to the plasma.
[0006] In yet another aspect of the invention, a method and apparatus are described for forming a bilayer mask for etching a thin film on a substrate. The thin film is formed on the substrate. An anti-reflective coating (ARC) layer is formed on the thin film. A photoresist pattern is formed on the ARC layer. The photoresist pattern is transferred to the ARC layer by etching the ARC layer using a process gas comprising one or more gasses collectively containing ammonia (NH3) and a passivation gas.
[0007] Additionally, a method of smoothing a sidewall in a multilayer mask on a substrate in a plasma processing system comprises: introducing a process gas comprising one or more gasses collectively containing ammonia (NH3), and a passivation gas; forming a plasma from the process gas in the plasma processing system; and exposing the substrate to the plasma, wherein the passivation gas facilitates the formation of a passivation film on the sidewall of the multilayer mask in order to smooth surface roughness of the sidewall.
Brief Description of the Drawings
[0008] In the accompanying drawings:
[0009] FIGs. 1A, 1B, and 1 C show a schematic representation of a typical procedure for pattern etching a thin film;
[0010] FIG. 2 shows a simplified schematic diagram of a plasma processing system according to an embodiment of the present invention;
[0011] FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;
[0012] FIG. 4 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;
[0013] FIG. 5 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;
[0014] FIG. 6 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;
[0015] FIG. 7 presents a method of etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system according to an embodiment of the present invention;
[0016] FIG. 8 presents a method of forming a bilayer mask for etching a thin film on a substrate according to another embodiment of the present invention; and
[0017] FIGs. 9A and 9B show a schematic representation of a multilayer mask.
Detailed Description of Several Embodiments
[0018] In material processing methodologies, pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate, that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching. The patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro- lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non- irradiated regions (as in the case of negative resist) using a developing solvent. Multi-layer masks can be implemented for etching features in a thin film. For example, as shown in FIGs. 1A-C, a bilayer mask 6 comprising light- sensitive layer 3 with pattern 2 formed using conventional lithographic techniques and an organic anti-reflective coating (ARC) layer 7 can be utilized as a mask for etching the thin film 4 on substrate 5, wherein the mask pattern 2 in the light-sensitive layer 3 is transferred to the ARC layer 7 using a separate etch step preceding the main etch step for the thin film 4. [0019] In one embodiment, a process gas comprising ammonia (NH3) and a passivation gas is utilized in a method of bilayer photoresist dry development. For example, the passivation gas can comprise a hydrocarbon gas such as at least one of C2H4, CH4, C2H , C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H-10, C5H8, C5H10, CδHδ, CβHio, C6H12, or the like.
[0020] Although the embodiment above describes the etching of thin film 4 on substrate 5, the etching can be of substrate 5 itself, with or without thin film 4.
[0021] According to one embodiment, a plasma processing system 1 is depicted in FIG. 2 comprising a plasma processing chamber 10, a diagnostic system 12 coupled to the plasma processing chamber 10, and a controller 14 coupled to the diagnostic system 12 and the plasma processing chamber 10. The controller 14 is configured to execute a process recipe comprising at least one of the above-identified chemistries to etch an organic ARC layer. Additionally, controller 14 is configured to receive at least one endpoint signal from the diagnostic system 12 and to post-process the at least one endpoint signal in order to accurately determine an endpoint for the process. In the illustrated embodiment, plasma processing system 1 , depicted in FIG. 2, utilizes a plasma for material processing. Plasma processing system 1 can comprise an etch chamber. [0022] According to the embodiment depicted in FIG. 3, plasma processing system 1a can comprise plasma processing chamber 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30. Substrate 25 can be, for example, a semiconductor substrate, a wafer or a liquid crystal display. Plasma processing chamber 10 can be, for example, configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25. An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted. For example, a control mechanism (not shown) can be used to throttle the vacuum pumping system 30. Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25. The plasma processing system 1a can be configured to process 200 mm substrates, 300 mm substrates, or larger.
[0023] Substrate 25 can be, for example, affixed to the substrate holder 20 via an electrostatic clamping system. Furthermore, substrate holder 20 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas can, for example, be delivered to the backside of substrate 25 via a backside gas system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 20, as well as the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1a.
[0024] In the embodiment shown in FIG. 3, substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in process space 15. For example, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an impedance match network 50 to substrate holder 20. The RF bias can serve to heat electrons to form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and an upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art.
[0025] Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. Furthermore, impedance match network 50 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power. Match network topologies (e.g. L-type, π- type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
[0026] Vacuum pump system 30 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP is generally employed. TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure processing (i.e., greater than 100 mTorr), a mechanical booster pump and dry roughing pump can be used. Furthermore, a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA).
[0027] Controller 14 comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1a as well as monitor outputs from plasma processing system 1a. Moreover, controller 14 can be coupled to and can exchange information with RF generator 40, impedance match network 50, the gas injection system (not shown), vacuum pump system 30, as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature measurement system (not shown), and/or the electrostatic clamping system (not shown). For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1a according to a process recipe in order to perform the method of etching an organic ARC layer. One example of controller 14 is a DELL PRECISION WORKSTATION 610™ , available from Dell Corporation, Austin, Texas. [0028] The diagnostic system 12 can include an optical diagnostic subsystem (not shown). The optical diagnostic subsystem can comprise a detector such as a (silicon) photodiode or a photomultiplier tube (PMT) for measuring the light intensity emitted from the plasma. The diagnostic system 12 can further include an optical filter such as a narrow-band interference filter. In an alternate embodiment, the diagnostic system 12 can include at least one of a line CCD (charge coupled device), a CID (charge injection device) array, and a light dispersing device such as a grating or a prism. Additionally, diagnostic system 12 can include a monochromator (e.g., grating/detector system) for measuring light at a given wavelength, or a spectrometer (e.g., with a rotating grating) for measuring the light spectrum such as, for example, the device described in U.S. Patent No. 5,888,337. [0029] The diagnostic system 12 can include a high resolution Optical Emission Spectroscopy (OES) sensor such as from Peak Sensor Systems, or Verity Instruments, Inc. Such an OES sensor has a broad spectrum that spans the ultraviolet (UV), visible (VIS), and near infrared (NIR) light spectrums. The resolution is approximately 1.4 Angstroms, that is, the sensor is capable of collecting 5550 wavelengths from 240 to 1000 nm. For example, the OES sensor can be equipped with high sensitivity miniature fiber optic UV- VIS-NIR spectrometers which are, in turn, integrated with 2048 pixel linear CCD arrays.
[0030] The spectrometers receive light transmitted through single and bundled optical fibers, where the light output from the optical fibers is dispersed across the line CCD array using a fixed grating. Similar to the configuration described above, light passing through an optical vacuum window is focused onto the input end of the optical fibers via a convex spherical lens. Three spectrometers, each specifically tuned for a given spectral range (UV, VIS and NIR), form a sensor for a process chamber. Each spectrometer includes an independent A/D converter. And lastly, depending upon the sensor utilization, a full emission spectrum can be recorded every 0.1 to 1.0 seconds.
[0031] In the embodiment shown in FIG. 4, the plasma processing system 1b can, for example, be similar to the embodiment of FIG. 2 or 3 and further comprise either a stationary, or mechanically or electrically rotating magnetic field system 60, in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 2 and FIG. 3. Moreover, controller 14 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
[0032] In the embodiment shown in FIG. 5, the plasma processing system 1c can, for example, be similar to the embodiment of FIG. 2 or FIG. 3, and can further comprise an upper electrode 70 to which RF power can be coupled from RF generator 72 through impedance match network 74. A typical frequency for the application of RF power to the upper electrode can range from 0.1 MHz to 200 MHz. Additionally, a typical frequency for the application of power to the lower electrode can range from 0.1 MHz to 100 MHz. Moreover, controller 14 is coupled to RF generator 72 and impedance match network 74 in order to control the application of RF power to upper electrode 70. The design and implementation of an upper electrode is well known to those skilled in the art.
[0033] In the embodiment shown in FIG. 6, the plasma processing system 1d can, for example, be similar to the embodiments of FIGs. 2 and 3, and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through impedance match network 84. RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma processing region 15. A typical frequency for the application of RF power to the inductive coil 80 can range from 10 MHz to 100 MHz. Similarly, a typical frequency for the application of power to the chuck electrode can range from 0.1 MHz to 100 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, controller 14 is coupled to RF generator 82 and impedance match network 84 in order to control the application of power to inductive coil 80. In an alternate embodiment, inductive coil 80 can be a "spiral" coil or "pancake" coil in communication with the plasma processing region 15 from above as in a transformer coupled plasma (TCP) reactor. The design and implementation of an inductively coupled plasma (ICP) source, or transformer coupled plasma (TCP) source, is well known to those skilled in the art.
[0034] Alternately, the plasma can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each plasma source described above is well known to those skilled in the art.
[0035] In the following discussion, a method of etching an organic ARC layer utilizing a plasma processing device is presented. For example, the plasma processing device can comprise various elements, such as described in FIGs. 2 through 6, and combinations thereof.
[0036] In an embodiment, the method of etching an organic ARC layer comprises NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10. C5H8, C5H-10, CθHβ, CβHio, C6H12, or the like. For example, a process parameter space can comprise a chamber pressure of 20 to 1000 mTorr, an NH3 process gas flow rate ranging from 50 to 1000 seem, a hydrocarbon process gas flow rate ranging from 5 to 100 seem, an upper electrode (e.g., element 70 in FIG. 5) RF bias ranging from 500 to 2000 W, and a lower electrode (e.g., element 20 in FIG. 5) RF bias ranging from 10 to 500 W. Also, the upper electrode bias frequency can range from 0.1 MHz to 200 MHz, e.g., 60 MHz. In addition, the lower electrode bias frequency can range from 0.1 MHz to 100 MHz, e.g., 2 MHz. [0037] In an example, a method of etching an organic ARC layer utilizing a plasma processing device such as the one described in FIG. 5 is presented. However, the methods discussed are not to be limited in scope by this exemplary presentation. Table I presents the critical dimensions of a feature etched in an organic ARC layer utilizing the following exemplary process recipe: Chamber pressure = 100 mTorr; Upper electrode RF power = 1200 W; Lower electrode RF power = 100 W; Process gas flow rate NH3/C2H4 = 450/50 seem; a 55 mm electrode spacing between the lower surface of electrode 70 (see FIG. 5) and the upper surface of substrate 25 on substrate holder 20; Lower electrode temperature (e.g., substrate holder 20 in FIG. 5) = 20C; Upper electrode temperature (e.g., electrode 70 in FIG. 5) = 60C; Chamber wall temperature = 50C; Backside helium pressure Center/Edge = 10/35 Torn and an etch time of 180 seconds.
Figure imgf000012_0001
TABLE I (Photoresist - PR; Critical dimension - CD).
[0038] Table I reports results (for both metal contacts (MC) as well as contacts (CA)) such as thickness of the remaining photoresist following the ARC layer etch, top and bottom critical dimensions for the ARC feature, and the critical dimension bias, wherein the bias indicates the change in CD from top to bottom (i.e. negative bias indicates a CD reduction, and positive bias indicates a CD increase). Additionally, the data is reported at center and edge. The data demonstrates the success of the process in maintaining the CD as well as the potential for reducing the CD. [0039] In an alternate embodiment, the process chemistry can further comprise Helium (He). The introduction of Helium to the process can relieve feature side-wall roughness.
[0040] In general, the etch time can be determined using design of experiment (DOE) techniques; however, it can also be determined using endpoint detection. One possible method of endpoint detection is to monitor a portion of the emitted light spectrum from the plasma region that indicates when a change in plasma chemistry occurs due to substantially near completion of the ARC layer etching and contact with the underlying material film. For example, portions of the spectrum that indicate such changes comprise wavelengths of 387.2 nm (carbon-nitrogen (CN)), and can be measured using optical emission spectroscopy (OES). After emission levels corresponding to those frequencies cross a specified threshold (e.g., drop to substantially zero or increase above a particular level), an endpoint can be considered to be complete. Other wavelengths that provide endpoint information can also be used. Furthermore, the etch time can be extended to include a period of over-etch, wherein the over-etch period constitutes a fraction (i.e. 1 to 100%) of the time between initiation of the etch process and the time associated with endpoint detection.
[0041] FIG. 7 presents a flow chart of a method for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system according to an embodiment of the present invention. Procedure 400 begins in 410 in which a process gas is introduced to the plasma processing system, wherein the process gas comprises ammonia (NH3) containing gas, and a passivation gas. For example, the passivation gas can comprise a hydrocarbon gas such as at least one of C2H4, CH4, C2H2) C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, CδHe, CΘHIO, and CδHι2. Alternately, the process gas can further comprise helium (He).
[0042] In 420, a plasma is formed in the plasma processing system from the process gas using, for example, any one of the systems described in FIGs. 2 through 6, or combinations thereof.
[0043] In 430, the substrate comprising the ARC layer is exposed to the plasma formed in 420. After a first period of time, procedure 400 ends. For example, the first period of time during which the substrate with the ARC layer is exposed to the plasma is generally dictated by the time required to etch the ARC layer, or the time required to transfer a photoresist pattern to the ARC layer. In general, the first period of time required to transfer a photoresist pattern through the thickness of the ARC layer is pre-determined. Alternately, the first period of time can be further augmented by a second period of time, or an over-etch time period. As described above, the over-etch time can comprise a fraction of time, such as 1 to 100%, of the first period of time, and this over-etch period can comprise an extension of etching beyond the detection of endpoint.
[0044] FIG. 8 presents a method for forming a bilayer mask for etching a thin film on a substrate in a plasma processing system according to another embodiment of the present invention. The method is illustrated in a flowchart 500 beginning in 510 with forming the thin film on the substrate. The thin film can comprise an oxide layer, such as silicon dioxide (SiO2), and it can be formed by a variety of processes including chemical vapor deposition (CVD). [0045] In 520, an anti-reflective coating (ARC) layer is formed on the substrate overlying the thin film. The ARC layer can, for example, be an organic ARC layer that is formed using conventional techniques such as a spin coating system.
[0046] In 530, a photoresist pattern is formed on the substrate overlying the ARC layer. The photoresist film can be formed using conventional techniques, such as a photoresist spin coating system. The pattern can be formed within the photoresist film by using conventional techniques such as a stepping micro-lithography system, and a developing solvent. [0047] In 540, the photoresist pattern is transferred to the ARC layer in order to form the bilayer mask. The pattern transfer is accomplished using a dry etching technique, wherein the etch process is performed in a plasma processing system that utilizes a process gas comprising ammonia (NH3) containing gas, and a passivation gas. For example, the passivation gas can comprise a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, CβHβ, CΘHIO, and CeHι2- Alternately, the process gas, as described above, can further comprise helium (He). Plasma is formed in the plasma processing system from the process gas using, for example, any one of the systems described in FIGs. 2 through 6, and the substrate comprising the ARC layer is exposed to the plasma formed. A first period of time during which the substrate with the ARC layer is exposed to the plasma is generally dictated by the time required to etch the ARC layer, or the time required to transfer a photoresist pattern to the ARC layer. In general, the first period of time required to transfer a photoresist pattern through the thickness of the ARC layer is pre-determined. However, typically, the first period of time is further augmented by a second period of time, or an over-etch time period. As described above, the over-etch time can comprise a fraction of time, such as 1 to 100%, of the first period of time, and this over-etch period can comprise an extension of etching beyond the detection of endpoint.
[0048] FIGs. 9A and 9B present a side view and a top view of an etched multilayer mask, respectively. Feature 600 comprises sidewalls 610 through light-sensitive layer 640 and ARC layer 650 upon which surface roughness 620 is formed during etching. The passivation gas facilitates the formation of a passivation film 630 to smooth the surface roughness 620 of the etched multilayer mask; see FIG. 9B.
[0049] Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

What is claimed is:
1. A method for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising one or more gasses collectively containing ammonia (NH3), and a passivation gas; forming a plasma from said process gas in said plasma processing system; and exposing said substrate to said plasma.
2. The method as recited in claim 1 , wherein said passivation gas comprises a hydrocarbon gas.
3. The method as recited in claim 1 , wherein said passivation gas comprises at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H-10, C5H8, C5H10, CeHβ, CeHio, and CeHι2-
4. The method as recited in claim 1 , 2, or 3, wherein said process gas further comprises helium.
5. The method as recited in claim 1 , wherein said exposing said substrate to said plasma is performed for a first period of time.
6. The method as recited in claim 5, wherein said first period of time is determined by endpoint detection.
7. The method as recited in claim 6, wherein said endpoint detection comprises optical emission spectroscopy.
8. The method as recited in claim 5, wherein said first period of time corresponds to the time to etch said ARC layer and is extended by a second period of time.
9. The method as recited in claim 8, wherein said second period of time is a fraction of said first period of time.
10. A method of forming a bilayer mask for etching a thin film on a substrate comprising: forming said thin film on said substrate; forming an anti-reflective coating (ARC) layer on said thin film; forming a photoresist pattern on said ARC layer; and transferring said photoresist pattern to said ARC layer by etching said ARC layer using a process gas comprising one or more gasses collectively containing ammonia (NH3), and a passivation gas.
11. The method as recited in claim 10, wherein said passivation gas comprises a hydrocarbon gas.
12. The method as recited in claim 10, wherein said passivation gas comprises at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6) C4H8, C4H10, C5H8, C5H10, CeHβ, CβH-io, and CβHι2.
13. The method as recited in claim 10, 11 or 12, wherein said process gas further comprises helium.
14. The method as recited in claim 10, wherein said etching of said substrate is performed for a first period of time.
15. The method as recited in claim 14, wherein said first period of time is determined by endpoint detection.
16. The method as recited in claim 15, wherein said endpoint detection comprises optical emission spectroscopy.
17. The method as recited in claim 14, wherein said first period of time corresponds to the time to etch said ARC layer and is extended by a second period of time.
18. The method as recited in claim 17, wherein said second period of time is a fraction of said first period of time.
19. A plasma processing system for etching an anti-reflective coating (ARC) layer on a substrate comprising: a plasma processing chamber for facilitating the formation of a plasma from a process gas; and a controller coupled to said plasma processing chamber and configured to execute a process recipe utilizing said process gas, wherein said process gas comprises one or more gasses collectively containing ammonia (NH3), and a passivation gas.
20. The system as recited in claim 19, wherein said system further comprises a diagnostic system coupled to said plasma processing chamber, and coupled to said controller.
21. The system as recited in claim 20, wherein said diagnostic system is configured to receive a signal that is related to light emitted from said plasma.
22. The system as recited in claim 19, wherein said passivation gas comprises a hydrocarbon gas.
23. The system as recited in claim 19, wherein said passivation gas comprises at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6,
Figure imgf000018_0001
C5H8, C5H10, CδHδ, CβHio, and CδHι2.
24. The system as recited in claim 19, 22 or 23, wherein said process gas further comprises helium.
25. The system as recited in claim 20, wherein said controller causes said substrate to be exposed to said plasma for a first period of time.
26. The system as recited in claim 25, wherein said first period of time is determined by endpoint detection determined by said diagnostic system.
27. The system as recited in claim 26, wherein said diagnostic system comprises an optical emission spectroscopy device.
28. The system as recited in claim 25, wherein said first period of time corresponds to the time to etch said ARC layer and is extended by a second period of time.
29. The system as recited in claim 28, wherein said second period of time is a fraction of said first period of time.
30. A method of smoothing a sidewall in a multilayer mask on a substrate in a plasma processing system comprising: introducing a process gas comprising one or more gasses collectively containing ammonia (NH3), and a passivation gas; forming a plasma from said process gas in said plasma processing system; and exposing said substrate to said plasma, wherein said passivation gas facilitates the formation of a passivation film on said sidewall of said multilayer mask in order to smooth surface roughness of said sidewall.
31. The method as recited in claim 30, wherein said passivation gas comprises a hydrocarbon gas.
32. The method as recited in claim 30, wherein said passivation gas comprises at least one of C2H4, CH4, C2H2, C2Hδ, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, CβHδ, CβHio, and
Figure imgf000019_0001
33. The method as recited in claim 30, 31 , or 32, wherein said process gas further comprises helium.
34. A bilayer mask comprising: an anti-reflective coating; a light-sensitive layer formed on the anti-reflective coating, the light- sensitive layer and the anti-reflective coating defining a feature therethrough; and a passivation layer formed on a sidewall of the feature.
35. The mask of claim 34, wherein the passivation layer forms a smooth sidewall of the feature.
PCT/US2004/001405 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development WO2004095551A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP04704022A EP1609175A1 (en) 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development
JP2006508615A JP2006522480A (en) 2003-03-31 2004-01-21 Method and apparatus for dry development of multilayer photoresist

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US45843003P 2003-03-31 2003-03-31
US60/458,430 2003-03-31
US48422503P 2003-05-05 2003-05-05
US60/484,225 2003-05-05
US10/640,577 2003-08-14
US10/640,577 US7344991B2 (en) 2002-12-23 2003-08-14 Method and apparatus for multilayer photoresist dry development

Publications (1)

Publication Number Publication Date
WO2004095551A1 true WO2004095551A1 (en) 2004-11-04

Family

ID=33314234

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/001405 WO2004095551A1 (en) 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development

Country Status (5)

Country Link
EP (1) EP1609175A1 (en)
JP (1) JP2006522480A (en)
KR (1) KR100989107B1 (en)
TW (1) TWI228751B (en)
WO (1) WO2004095551A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1623275A2 (en) * 2003-05-09 2006-02-08 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
WO2021158433A1 (en) * 2020-02-04 2021-08-12 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11209729B2 (en) 2014-01-31 2021-12-28 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
WO2022005855A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
WO2022005808A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2024039498A1 (en) * 2022-08-15 2024-02-22 Tokyo Electron Limited Cyclic method for reactive development of photoresists
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7700494B2 (en) 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0517165A1 (en) * 1991-06-03 1992-12-09 Sony Corporation Dry etching method utilizing (SN)x polymer mask
EP0813233A2 (en) * 1996-06-12 1997-12-17 Applied Materials, Inc. Method of etching dielectric layer using a plasma generated from a mixture of flourohydrocarbon gas, NH3-genrating gas, and carbon-oxygen containing gas
WO2000051173A1 (en) * 1999-02-26 2000-08-31 Trikon Holdings Limited Method of treating an insulating layer
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
US20020111036A1 (en) * 2001-02-12 2002-08-15 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US20020173160A1 (en) * 2001-03-30 2002-11-21 Douglas Keil Plasma etching of organic antireflective coating
US20030029835A1 (en) * 2001-03-20 2003-02-13 Oranna Yauw Method of etching organic antireflection coating (ARC) layers
WO2003030237A1 (en) * 2001-09-26 2003-04-10 Tokyo Electron Limited Etching method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2897569B2 (en) * 1991-12-30 1999-05-31 ソニー株式会社 Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern
JP2958284B2 (en) * 1997-03-27 1999-10-06 ホーヤ株式会社 Transfer mask, manufacturing method thereof, and pattern transfer method
JP3637768B2 (en) * 1998-04-27 2005-04-13 松下電器産業株式会社 Toilet equipment
AU2814000A (en) * 1999-02-26 2000-09-14 Trikon Holdings Limited A method of processing a polymer layer
JP2001345380A (en) * 2000-05-31 2001-12-14 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2002093778A (en) * 2000-09-11 2002-03-29 Toshiba Corp Etching method of organic film and method for manufacturing semiconductor device using the same
JP2002169302A (en) * 2000-12-04 2002-06-14 Sony Corp Method of manufacturing semiconductor device
JP2002351092A (en) * 2001-05-29 2002-12-04 Matsushita Electric Ind Co Ltd Etching method
KR100479600B1 (en) * 2001-06-28 2005-04-06 주식회사 하이닉스반도체 A forming method of contact

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0517165A1 (en) * 1991-06-03 1992-12-09 Sony Corporation Dry etching method utilizing (SN)x polymer mask
EP0813233A2 (en) * 1996-06-12 1997-12-17 Applied Materials, Inc. Method of etching dielectric layer using a plasma generated from a mixture of flourohydrocarbon gas, NH3-genrating gas, and carbon-oxygen containing gas
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
WO2000051173A1 (en) * 1999-02-26 2000-08-31 Trikon Holdings Limited Method of treating an insulating layer
US20020111036A1 (en) * 2001-02-12 2002-08-15 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US20030029835A1 (en) * 2001-03-20 2003-02-13 Oranna Yauw Method of etching organic antireflection coating (ARC) layers
US20020173160A1 (en) * 2001-03-30 2002-11-21 Douglas Keil Plasma etching of organic antireflective coating
WO2003030237A1 (en) * 2001-09-26 2003-04-10 Tokyo Electron Limited Etching method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1609175A1 *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1623275A2 (en) * 2003-05-09 2006-02-08 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
US11209729B2 (en) 2014-01-31 2021-12-28 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2021158433A1 (en) * 2020-02-04 2021-08-12 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
EP4100793A4 (en) * 2020-02-04 2024-03-13 Lam Res Corp Post application/exposure treatments to improve dry development performance of metal-containing euv resist
WO2022005855A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
WO2022005808A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
WO2024039498A1 (en) * 2022-08-15 2024-02-22 Tokyo Electron Limited Cyclic method for reactive development of photoresists

Also Published As

Publication number Publication date
TW200425247A (en) 2004-11-16
EP1609175A1 (en) 2005-12-28
JP2006522480A (en) 2006-09-28
TWI228751B (en) 2005-03-01
KR100989107B1 (en) 2010-10-25
KR20050112115A (en) 2005-11-29

Similar Documents

Publication Publication Date Title
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US7531461B2 (en) Process and system for etching doped silicon using SF6-based chemistry
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US20050221619A1 (en) System and method for etching a mask
WO2008073379A1 (en) Method and apparatus for ashing a substrate using carbon dioxide
WO2005091796A2 (en) Method and system for treating a hard mask to improve etch characteristics
WO2006025942A1 (en) Method and system for etching a film stack
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
EP1609175A1 (en) Method and apparatus for multilayer photoresist dry development
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US20070059938A1 (en) Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
WO2006025944A1 (en) Method and system for etching a gate stack
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer
US20070056927A1 (en) Process and system for etching doped silicon

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004704022

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20048013788

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2006508615

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020057018198

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020057018198

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004704022

Country of ref document: EP