WO2004102623A3 - Managing power on integrated circuits using power islands - Google Patents

Managing power on integrated circuits using power islands Download PDF

Info

Publication number
WO2004102623A3
WO2004102623A3 PCT/US2004/014205 US2004014205W WO2004102623A3 WO 2004102623 A3 WO2004102623 A3 WO 2004102623A3 US 2004014205 W US2004014205 W US 2004014205W WO 2004102623 A3 WO2004102623 A3 WO 2004102623A3
Authority
WO
WIPO (PCT)
Prior art keywords
power
islands
integrated circuits
level
consumption
Prior art date
Application number
PCT/US2004/014205
Other languages
French (fr)
Other versions
WO2004102623A2 (en
Inventor
Barry Alan Hoberman
Daniel L Hillman
Jon Shiell
Original Assignee
Virtual Silicon Technology Inc
Barry Alan Hoberman
Daniel L Hillman
Jon Shiell
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=33452226&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2004102623(A3) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Virtual Silicon Technology Inc, Barry Alan Hoberman, Daniel L Hillman, Jon Shiell filed Critical Virtual Silicon Technology Inc
Priority to KR1020107008956A priority Critical patent/KR101053010B1/en
Priority to EP04751547.3A priority patent/EP1623349B1/en
Priority to JP2006532832A priority patent/JP2007501478A/en
Priority to KR1020117001439A priority patent/KR101189346B1/en
Publication of WO2004102623A2 publication Critical patent/WO2004102623A2/en
Publication of WO2004102623A3 publication Critical patent/WO2004102623A3/en

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J4/00Circuit arrangements for mains or distribution networks not specified as ac or dc
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Abstract

Systems and methods manage power in an integrated circuit using power islands. The integrated circuit includes a plurality of power islands where power consumption is independently controlled within each of the power islands. A power manager determines a target power level for one of the power islands. The power manager then determines an action to change a consumption power level of the one of the power islands to the target power level. The power manager performs the action to change the consumption power level of the one of the power islands to the target power level.
PCT/US2004/014205 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands WO2004102623A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020107008956A KR101053010B1 (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands
EP04751547.3A EP1623349B1 (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands
JP2006532832A JP2007501478A (en) 2003-05-07 2004-05-07 Managing power in integrated circuits using power islands
KR1020117001439A KR101189346B1 (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46874203P 2003-05-07 2003-05-07
US60/468,742 2003-05-07

Publications (2)

Publication Number Publication Date
WO2004102623A2 WO2004102623A2 (en) 2004-11-25
WO2004102623A3 true WO2004102623A3 (en) 2005-07-14

Family

ID=33452226

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/014205 WO2004102623A2 (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands

Country Status (7)

Country Link
US (11) US7051306B2 (en)
EP (2) EP3321769A1 (en)
JP (4) JP2007501478A (en)
KR (4) KR100915258B1 (en)
CN (1) CN100416573C (en)
TW (3) TWI371674B (en)
WO (1) WO2004102623A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101424534B1 (en) * 2006-12-31 2014-08-01 샌디스크 테크놀로지스, 인코포레이티드 Systems, circuits, chips and methods with protection at power island boundaries

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7039819B1 (en) * 2003-04-30 2006-05-02 Advanced Micro Devices, Inc. Apparatus and method for initiating a sleep state in a system on a chip device
TWI371674B (en) * 2003-05-07 2012-09-01 Mosaid Technologies Inc Managing power on integrated circuits using power islands
JP2007528117A (en) * 2003-06-10 2007-10-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Real-time adaptive control for best IC performance
US7170315B2 (en) * 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7080341B2 (en) 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
US7227383B2 (en) * 2004-02-19 2007-06-05 Mosaid Delaware, Inc. Low leakage and data retention circuitry
US7138824B1 (en) * 2004-05-10 2006-11-21 Actel Corporation Integrated multi-function analog circuit including voltage, current, and temperature monitor and gate-driver circuit blocks
KR100750590B1 (en) * 2004-06-15 2007-08-20 삼성전자주식회사 Method and device for controlling internal power voltage, and semiconductor memory device having the same
US9281718B2 (en) * 2004-06-28 2016-03-08 Broadcom Corporation On-board power supply monitor and power control system
US7926008B2 (en) * 2004-06-28 2011-04-12 Broadcom Corporation Integrated circuit with on-board power utilization information
US7409315B2 (en) 2004-06-28 2008-08-05 Broadcom Corporation On-board performance monitor and power control system
US7382178B2 (en) * 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7984398B1 (en) * 2004-07-19 2011-07-19 Synopsys, Inc. Automated multiple voltage/power state design process and chip description system
US7434073B2 (en) * 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US20060119382A1 (en) * 2004-12-07 2006-06-08 Shumarayev Sergey Y Apparatus and methods for adjusting performance characteristics of programmable logic devices
US7131099B2 (en) * 2004-12-09 2006-10-31 International Business Machines Corporation Method, apparatus, and computer program product for RTL power sequencing simulation of voltage islands
US7275164B2 (en) * 2005-01-31 2007-09-25 International Business Machines Corporation System and method for fencing any one of the plurality of voltage islands using a lookup table including AC and DC components for each functional block of the voltage islands
CN1844946A (en) * 2005-04-08 2006-10-11 株式会社东芝 Semiconductor integrated circuit and method of testing delay thereof
US7454738B2 (en) * 2005-06-10 2008-11-18 Purdue Research Foundation Synthesis approach for active leakage power reduction using dynamic supply gating
US7482792B2 (en) 2005-06-14 2009-01-27 Intel Corporation IC with fully integrated DC-to-DC power converter
US7598630B2 (en) * 2005-07-29 2009-10-06 Intel Corporation IC with on-die power-gating circuit
US7574683B2 (en) * 2005-08-05 2009-08-11 John Wilson Automating power domains in electronic design automation
US7264985B2 (en) * 2005-08-31 2007-09-04 Freescale Semiconductor, Inc. Passive elements in MRAM embedded integrated circuits
WO2007027833A2 (en) * 2005-09-02 2007-03-08 Cypress Semiconductor Corp. Circuit, system, and method for multiplexing signals with reduced jitter
US7554843B1 (en) * 2005-11-04 2009-06-30 Alta Analog, Inc. Serial bus incorporating high voltage programming signals
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US7421601B2 (en) * 2006-02-17 2008-09-02 International Business Machines Corporation Method and system for controlling power in a chip through a power-performance monitor and control unit
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7454642B2 (en) * 2006-03-31 2008-11-18 International Business Machines Corporation Method and architecture for power management of an electronic device
US7739629B2 (en) 2006-04-14 2010-06-15 Cadence Design Systems, Inc. Method and mechanism for implementing electronic designs having power information specifications background
CN101473285A (en) * 2006-06-15 2009-07-01 皇家飞利浦电子股份有限公司 A method of balancing power consumption between loads
US7949887B2 (en) 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US8397090B2 (en) * 2006-12-08 2013-03-12 Intel Corporation Operating integrated circuit logic blocks at independent voltages with single voltage supply
US7899434B2 (en) * 2006-12-15 2011-03-01 Broadcom Corporation Power management for a mobile communication device and method for use therewith
JP2010515277A (en) * 2006-12-31 2010-05-06 サンディスク コーポレイション System, method, and integrated circuit having an inrush limiting power island
US20080162954A1 (en) * 2006-12-31 2008-07-03 Paul Lassa Selectively powered data interfaces
US8304813B2 (en) * 2007-01-08 2012-11-06 SanDisk Technologies, Inc. Connection between an I/O region and the core region of an integrated circuit
TW200835151A (en) * 2007-02-15 2008-08-16 Univ Nat Chiao Tung Low-power dynamic sequential controlling multiplexer
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7735030B1 (en) * 2007-02-28 2010-06-08 Cadence Design Systems, Inc. Simulating restorable registers in power domain systems
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8135944B2 (en) * 2007-03-14 2012-03-13 Sandisk Technologies Inc. Selectively powered data interfaces
US7739626B2 (en) * 2007-04-20 2010-06-15 Iwatt Inc. Method and apparatus for small die low power system-on-chip design with intelligent power supply chip
US7954078B1 (en) * 2007-06-29 2011-05-31 Cadence Design Systems, Inc. High level IC design with power specification and power source hierarchy
US8055925B2 (en) * 2007-07-18 2011-11-08 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US8122273B2 (en) * 2007-07-18 2012-02-21 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US20090037629A1 (en) * 2007-08-01 2009-02-05 Broadcom Corporation Master slave core architecture with direct buses
US7941679B2 (en) 2007-08-10 2011-05-10 Atrenta, Inc. Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
US7710800B2 (en) * 2007-12-12 2010-05-04 International Business Machines Corporation Managing redundant memory in a voltage island
US20090157334A1 (en) * 2007-12-14 2009-06-18 Kenneth Joseph Goodnow Measurement of power consumption within an integrated circuit
US7715995B2 (en) * 2007-12-14 2010-05-11 International Business Machines Corporation Design structure for measurement of power consumption within an integrated circuit
US8327173B2 (en) 2007-12-17 2012-12-04 Nvidia Corporation Integrated circuit device core power down independent of peripheral device operation
US8112641B2 (en) * 2007-12-26 2012-02-07 Cisco Technology, Inc. Facilitating communication and power transfer between electrically-isolated powered device subsystems
US7830039B2 (en) * 2007-12-28 2010-11-09 Sandisk Corporation Systems and circuits with multirange and localized detection of valid power
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9411390B2 (en) 2008-02-11 2016-08-09 Nvidia Corporation Integrated circuit device having power domains and partitions based on use case power optimization
US20090204835A1 (en) * 2008-02-11 2009-08-13 Nvidia Corporation Use methods for power optimization using an integrated circuit having power domains and partitions
US7898285B2 (en) * 2008-03-26 2011-03-01 International Business Machines Corporation Optimal local supply voltage determination circuit
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8762759B2 (en) 2008-04-10 2014-06-24 Nvidia Corporation Responding to interrupts while in a reduced power state
US9423846B2 (en) 2008-04-10 2016-08-23 Nvidia Corporation Powered ring to maintain IO state independent of the core of an integrated circuit device
US8607177B2 (en) 2008-04-10 2013-12-10 Nvidia Corporation Netlist cell identification and classification to reduce power consumption
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US20100057404A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Optimal Performance and Power Management With Two Dependent Actuators
US8001405B2 (en) * 2008-08-29 2011-08-16 International Business Machines Corporation Self-tuning power management techniques
GB2464510B (en) 2008-10-17 2013-09-04 Advanced Risc Mach Ltd Power control of an integrated circuit including an array of interconnected configurable logic elements
US9189049B2 (en) * 2008-12-24 2015-11-17 Stmicroelectronics International N.V. Power management in a device
US8161304B2 (en) * 2009-01-20 2012-04-17 Microsoft Corporation Power management for large memory subsystems
US8341582B2 (en) * 2009-01-30 2012-12-25 Active-Semi, Inc. Programmable analog tile configuration tool
US9003340B2 (en) * 2009-01-30 2015-04-07 Active-Semi, Inc. Communicating configuration information across a programmable analog tile to another tile
US8248152B2 (en) * 2009-02-25 2012-08-21 International Business Machines Corporation Switched capacitor voltage converters
US8127167B2 (en) * 2009-03-30 2012-02-28 Mediatek Inc. Methods for reducing power consumption and devices using the same
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
WO2010137262A1 (en) 2009-05-25 2010-12-02 パナソニック株式会社 Multiprocessor system, multiprocessor control method, and multiprocessor integrated circuit
US8004922B2 (en) 2009-06-05 2011-08-23 Nxp B.V. Power island with independent power characteristics for memory and logic
US8385148B2 (en) * 2009-06-15 2013-02-26 Broadcom Corporation Scalable, dynamic power management scheme for switching architectures utilizing multiple banks
US8533388B2 (en) 2009-06-15 2013-09-10 Broadcom Corporation Scalable multi-bank memory architecture
US8370683B1 (en) 2009-07-31 2013-02-05 Western Digital Technologies, Inc. System and method to reduce write splice failures
US7977972B2 (en) 2009-08-07 2011-07-12 The Board Of Trustees Of The University Of Arkansas Ultra-low power multi-threshold asynchronous circuit design
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8276002B2 (en) * 2009-11-23 2012-09-25 International Business Machines Corporation Power delivery in a heterogeneous 3-D stacked apparatus
US8502590B2 (en) 2009-12-14 2013-08-06 The Boeing Company System and method of controlling devices operating within different voltage ranges
US9058440B1 (en) * 2009-12-15 2015-06-16 Cadence Design Systems, Inc. Method and mechanism for verifying and simulating power aware mixed-signal electronic designs
US8356194B2 (en) 2010-01-28 2013-01-15 Cavium, Inc. Method and apparatus for estimating overshoot power after estimating power of executing events
JP5610566B2 (en) * 2010-02-22 2014-10-22 ルネサスエレクトロニクス株式会社 Semiconductor device and data processing system
US20120017100A1 (en) * 2010-02-25 2012-01-19 Emmanuel Petit Power System Optimization and Verification for Embedded System Design
US8271812B2 (en) * 2010-04-07 2012-09-18 Apple Inc. Hardware automatic performance state transitions in system on processor sleep and wake events
KR20110124617A (en) * 2010-05-11 2011-11-17 삼성전자주식회사 System-on-chip and debugging method thereof
US20110283130A1 (en) * 2010-05-17 2011-11-17 Global Unichip Corporation Power control manager
US8629705B2 (en) 2010-06-07 2014-01-14 International Business Machines Corporation Low voltage signaling
CN102314208B (en) * 2010-06-30 2016-08-03 重庆重邮信科通信技术有限公司 A kind of method and device of dynamic adjustment embedded device voltage to frequency
TWI411930B (en) * 2010-07-15 2013-10-11 Faraday Tech Corp System-level emulation/verification system and method thereof
US8601288B2 (en) 2010-08-31 2013-12-03 Sonics, Inc. Intelligent power controller
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9709625B2 (en) * 2010-11-19 2017-07-18 International Business Machines Corporation Measuring power consumption in an integrated circuit
US8756442B2 (en) 2010-12-16 2014-06-17 Advanced Micro Devices, Inc. System for processor power limit management
JP5630870B2 (en) * 2011-02-18 2014-11-26 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit layout method and program
US20120226949A1 (en) * 2011-03-02 2012-09-06 Texas Instruments Incorporated Multi-Channel Bus Protection
JP5647062B2 (en) * 2011-04-28 2014-12-24 富士通フロンテック株式会社 Maximum power consumption reduction device
US8918102B2 (en) 2011-07-29 2014-12-23 At&T Intellectual Property I, L.P. Method and system for selecting from a set of candidate frequency bands associated with a wireless access point
EP2667547B1 (en) 2011-07-29 2016-01-20 Huawei Technologies Co., Ltd. Bandwidth adjustment method, bus controller and signal converter
US8868941B2 (en) * 2011-09-19 2014-10-21 Sonics, Inc. Apparatus and methods for an interconnect power manager
KR101861743B1 (en) * 2011-09-19 2018-05-30 삼성전자주식회사 System-on chip for selectively performing heterogeneous power control and homegeneous power control, and method thereof
US9680773B1 (en) * 2011-09-26 2017-06-13 Altera Corporation Integrated circuit with dynamically-adjustable buffer space for serial interface
JP5660010B2 (en) * 2011-11-21 2015-01-28 トヨタ自動車株式会社 Information processing apparatus and data restoration method
US9400545B2 (en) 2011-12-22 2016-07-26 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including autonomous hardware-based deep power down in devices
US9773344B2 (en) 2012-01-11 2017-09-26 Nvidia Corporation Graphics processor clock scaling based on idle time
US9158359B2 (en) * 2012-03-23 2015-10-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Adaptive voltage scaling using a serial interface
US9529953B2 (en) * 2012-08-02 2016-12-27 The United States Of America, As Represented By The Secretary Of The Navy Subthreshold standard cell library
US9471395B2 (en) 2012-08-23 2016-10-18 Nvidia Corporation Processor cluster migration techniques
US8947137B2 (en) 2012-09-05 2015-02-03 Nvidia Corporation Core voltage reset systems and methods with wide noise margin
US20140136873A1 (en) * 2012-11-14 2014-05-15 Advanced Micro Devices, Inc. Tracking memory bank utility and cost for intelligent power up decisions
US9946319B2 (en) * 2012-11-20 2018-04-17 Advanced Micro Devices, Inc. Setting power-state limits based on performance coupling and thermal coupling between entities in a computing device
US9811874B2 (en) 2012-12-31 2017-11-07 Nvidia Corporation Frame times by dynamically adjusting frame buffer resolution
US9633872B2 (en) 2013-01-29 2017-04-25 Altera Corporation Integrated circuit package with active interposer
US8710906B1 (en) 2013-02-12 2014-04-29 Freescale Semiconductor, Inc. Fine grain voltage scaling of back biasing
US9411394B2 (en) * 2013-03-15 2016-08-09 Seagate Technology Llc PHY based wake up from low power mode operation
US9335809B2 (en) * 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US10409353B2 (en) * 2013-04-17 2019-09-10 Qualcomm Incorporated Dynamic clock voltage scaling (DCVS) based on application performance in a system-on-a-chip (SOC), and related methods and processor-based systems
US9094013B2 (en) 2013-05-24 2015-07-28 The Board Of Trustees Of The University Of Arkansas Single component sleep-convention logic (SCL) modules
US9059696B1 (en) 2013-08-01 2015-06-16 Altera Corporation Interposer with programmable power gating granularity
US9172373B2 (en) * 2013-09-06 2015-10-27 Globalfoundries U.S. 2 Llc Verifying partial good voltage island structures
US9671844B2 (en) 2013-09-26 2017-06-06 Cavium, Inc. Method and apparatus for managing global chip power on a multicore system on chip
JP2015069333A (en) * 2013-09-27 2015-04-13 富士通セミコンダクター株式会社 Design method and design program
US9594413B2 (en) * 2013-12-24 2017-03-14 Intel Corporation Interface for communication between circuit blocks of an integrated circuit, and associated apparatuses, systems, and methods
US9058459B1 (en) * 2013-12-30 2015-06-16 Samsung Electronics Co., Ltd. Integrated circuit layouts and methods to reduce leakage
KR101538458B1 (en) 2014-01-03 2015-07-23 연세대학교 산학협력단 Voltage island formation for 3d many-core chip multiprocessor
US9329237B2 (en) 2014-01-10 2016-05-03 Freescale Semiconductor, Inc. Switch detection device and method of use
SG11201500568TA (en) * 2014-02-27 2015-09-29 Panasonic Ip Corp America Method for controlling information device, method for providing information, and program
US9257839B2 (en) 2014-02-28 2016-02-09 Freescale Semiconductor, Inc. Systems and methods for managing multiple power domains
US9766684B2 (en) 2014-07-21 2017-09-19 Apple Inc. Telemetry for power and thermal management
KR102320399B1 (en) 2014-08-26 2021-11-03 삼성전자주식회사 Power management integrated circuit, mobile device having the same and clock adjusting method thereof
CN105446653B (en) 2014-08-27 2018-12-14 阿里巴巴集团控股有限公司 A kind of data merging method and equipment
US10416750B2 (en) 2014-09-26 2019-09-17 Qualcomm Incorporated Algorithm engine for ultra low-power processing of sensor data
US9811142B2 (en) 2014-09-29 2017-11-07 Apple Inc. Low energy processor for controlling operating states of a computer system
CN105573463A (en) * 2014-10-17 2016-05-11 深圳市中兴微电子技术有限公司 Power consumption management method and device
US10101786B2 (en) 2014-12-22 2018-10-16 Intel Corporation Holistic global performance and power management
US9829902B2 (en) * 2014-12-23 2017-11-28 Intel Corporation Systems and methods for dynamic temporal power steering
US9785211B2 (en) 2015-02-13 2017-10-10 Qualcomm Incorporated Independent power collapse methodology
US10402526B2 (en) 2015-06-05 2019-09-03 Chaoyang Semiconductor Jiangyin Technology Co., Ltd. Integrated system of PDN implementation and digital co-synthesis
US10152112B2 (en) 2015-06-10 2018-12-11 Sonics, Inc. Power manager with a power switch arbitrator
US9608605B2 (en) * 2015-08-06 2017-03-28 Futurewei Technologies, Inc. Apparatus and scheme for IO-pin-less calibration or trimming of on-chip regulators
US10078356B2 (en) * 2015-08-20 2018-09-18 Intel Corporation Apparatus and method for saving and restoring data for power saving in a processor
US9576615B1 (en) * 2015-10-15 2017-02-21 Smart Modular Technologies, Inc. Memory module with power management system and method of operation thereof
FR3043476B1 (en) * 2015-11-05 2018-09-28 Dolphin Integration SYSTEM AND METHOD FOR FEED MANAGEMENT
US10516304B2 (en) * 2015-12-22 2019-12-24 Intel Corporation Wireless charging coil placement for reduced field exposure
US10411492B2 (en) 2015-12-23 2019-09-10 Intel Corporation Wireless power transmitter shield with capacitors
US10133341B2 (en) * 2016-06-06 2018-11-20 Arm Limited Delegating component power control
KR20180039463A (en) * 2016-10-10 2018-04-18 삼성전자주식회사 Electronic device and method for controlling malfunction
US11068018B2 (en) * 2016-10-25 2021-07-20 Dolphin Design System and method for power management of a computing system with a plurality of islands
US10148270B2 (en) 2017-03-15 2018-12-04 Quicklogic Corporation Switchable power islands having configurably on routing paths
US10359954B2 (en) 2017-05-31 2019-07-23 Alibaba Group Holding Limited Method and system for implementing byte-alterable write cache
US10884926B2 (en) 2017-06-16 2021-01-05 Alibaba Group Holding Limited Method and system for distributed storage using client-side global persistent cache
US10229003B2 (en) 2017-06-16 2019-03-12 Alibaba Group Holding Limited Method and system for iterative data recovery and error correction in a distributed system
US10303241B2 (en) 2017-06-19 2019-05-28 Alibaba Group Holding Limited System and method for fine-grained power control management in a high capacity computer cluster
US10678443B2 (en) 2017-07-06 2020-06-09 Alibaba Group Holding Limited Method and system for high-density converged storage via memory bus
US10564856B2 (en) 2017-07-06 2020-02-18 Alibaba Group Holding Limited Method and system for mitigating write amplification in a phase change memory-based storage device
US10303601B2 (en) 2017-08-11 2019-05-28 Alibaba Group Holding Limited Method and system for rearranging a write operation in a shingled magnetic recording device
US10423508B2 (en) 2017-08-11 2019-09-24 Alibaba Group Holding Limited Method and system for a high-priority read based on an in-place suspend/resume write
US10496829B2 (en) 2017-09-15 2019-12-03 Alibaba Group Holding Limited Method and system for data destruction in a phase change memory-based storage device
US10642522B2 (en) 2017-09-15 2020-05-05 Alibaba Group Holding Limited Method and system for in-line deduplication in a storage drive based on a non-collision hash
US10503409B2 (en) 2017-09-27 2019-12-10 Alibaba Group Holding Limited Low-latency lightweight distributed storage system
US10789011B2 (en) 2017-09-27 2020-09-29 Alibaba Group Holding Limited Performance enhancement of a storage device using an integrated controller-buffer
US10642338B2 (en) * 2017-09-28 2020-05-05 Intel Corporation Hierarchical power management unit for low power and low duty cycle devices
US10860334B2 (en) 2017-10-25 2020-12-08 Alibaba Group Holding Limited System and method for centralized boot storage in an access switch shared by multiple servers
US10445190B2 (en) 2017-11-08 2019-10-15 Alibaba Group Holding Limited Method and system for enhancing backup efficiency by bypassing encoding and decoding
US10580730B2 (en) 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
US10877898B2 (en) 2017-11-16 2020-12-29 Alibaba Group Holding Limited Method and system for enhancing flash translation layer mapping flexibility for performance and lifespan improvements
US10340916B1 (en) * 2017-12-29 2019-07-02 Advanced Micro Devices, Inc. Using islands to control operating parameters for functional blocks in an electronic device
US10496548B2 (en) 2018-02-07 2019-12-03 Alibaba Group Holding Limited Method and system for user-space storage I/O stack with user-space flash translation layer
US10891239B2 (en) 2018-02-07 2021-01-12 Alibaba Group Holding Limited Method and system for operating NAND flash physical space to extend memory capacity
US10831404B2 (en) 2018-02-08 2020-11-10 Alibaba Group Holding Limited Method and system for facilitating high-capacity shared memory using DIMM from retired servers
US10402112B1 (en) 2018-02-14 2019-09-03 Alibaba Group Holding Limited Method and system for chunk-wide data organization and placement with real-time calculation
US10629533B2 (en) 2018-03-13 2020-04-21 Toshiba Memory Corporation Power island segmentation for selective bond-out
US11379155B2 (en) 2018-05-24 2022-07-05 Alibaba Group Holding Limited System and method for flash storage management using multiple open page stripes
CN111902804B (en) 2018-06-25 2024-03-01 阿里巴巴集团控股有限公司 System and method for managing resources of a storage device and quantifying I/O request costs
US10921992B2 (en) 2018-06-25 2021-02-16 Alibaba Group Holding Limited Method and system for data placement in a hard disk drive based on access frequency for improved IOPS and utilization efficiency
US10740257B2 (en) * 2018-07-02 2020-08-11 International Business Machines Corporation Managing accelerators in application-specific integrated circuits
US10871921B2 (en) 2018-07-30 2020-12-22 Alibaba Group Holding Limited Method and system for facilitating atomicity assurance on metadata and data bundled storage
US10747673B2 (en) 2018-08-02 2020-08-18 Alibaba Group Holding Limited System and method for facilitating cluster-level cache and memory space
US10996886B2 (en) 2018-08-02 2021-05-04 Alibaba Group Holding Limited Method and system for facilitating atomicity and latency assurance on variable sized I/O
US11327929B2 (en) 2018-09-17 2022-05-10 Alibaba Group Holding Limited Method and system for reduced data movement compression using in-storage computing and a customized file system
US10852948B2 (en) 2018-10-19 2020-12-01 Alibaba Group Holding System and method for data organization in shingled magnetic recording drive
US10795586B2 (en) 2018-11-19 2020-10-06 Alibaba Group Holding Limited System and method for optimization of global data placement to mitigate wear-out of write cache and NAND flash
US10769018B2 (en) 2018-12-04 2020-09-08 Alibaba Group Holding Limited System and method for handling uncorrectable data errors in high-capacity storage
US10884654B2 (en) 2018-12-31 2021-01-05 Alibaba Group Holding Limited System and method for quality of service assurance of multi-stream scenarios in a hard disk drive
US10977122B2 (en) 2018-12-31 2021-04-13 Alibaba Group Holding Limited System and method for facilitating differentiated error correction in high-density flash devices
US11061735B2 (en) 2019-01-02 2021-07-13 Alibaba Group Holding Limited System and method for offloading computation to storage nodes in distributed system
US11132291B2 (en) 2019-01-04 2021-09-28 Alibaba Group Holding Limited System and method of FPGA-executed flash translation layer in multiple solid state drives
US11200337B2 (en) 2019-02-11 2021-12-14 Alibaba Group Holding Limited System and method for user data isolation
US10922234B2 (en) 2019-04-11 2021-02-16 Alibaba Group Holding Limited Method and system for online recovery of logical-to-physical mapping table affected by noise sources in a solid state drive
US10908960B2 (en) 2019-04-16 2021-02-02 Alibaba Group Holding Limited Resource allocation based on comprehensive I/O monitoring in a distributed storage system
EP3726231A1 (en) * 2019-04-17 2020-10-21 Volkswagen Aktiengesellschaft Electronic component and system with integrated self-test functionality
US11169873B2 (en) 2019-05-21 2021-11-09 Alibaba Group Holding Limited Method and system for extending lifespan and enhancing throughput in a high-density solid state drive
US10860223B1 (en) 2019-07-18 2020-12-08 Alibaba Group Holding Limited Method and system for enhancing a distributed storage system by decoupling computation and network tasks
US11093019B2 (en) 2019-07-29 2021-08-17 Microsoft Technology Licensing, Llc Integrated circuit power domains segregated among power supply phases
US11126561B2 (en) 2019-10-01 2021-09-21 Alibaba Group Holding Limited Method and system for organizing NAND blocks and placing data to facilitate high-throughput for random writes in a solid state drive
CN111143275A (en) * 2019-12-27 2020-05-12 南方电网科学研究院有限责任公司 IP management and power consumption optimization system and method
US11042307B1 (en) 2020-01-13 2021-06-22 Alibaba Group Holding Limited System and method for facilitating improved utilization of NAND flash based on page-wise operation
US11449455B2 (en) 2020-01-15 2022-09-20 Alibaba Group Holding Limited Method and system for facilitating a high-capacity object storage system with configuration agility and mixed deployment flexibility
US10923156B1 (en) 2020-02-19 2021-02-16 Alibaba Group Holding Limited Method and system for facilitating low-cost high-throughput storage for accessing large-size I/O blocks in a hard disk drive
US10872622B1 (en) 2020-02-19 2020-12-22 Alibaba Group Holding Limited Method and system for deploying mixed storage products on a uniform storage infrastructure
US11150986B2 (en) 2020-02-26 2021-10-19 Alibaba Group Holding Limited Efficient compaction on log-structured distributed file system using erasure coding for resource consumption reduction
US11144250B2 (en) 2020-03-13 2021-10-12 Alibaba Group Holding Limited Method and system for facilitating a persistent memory-centric system
US11200114B2 (en) 2020-03-17 2021-12-14 Alibaba Group Holding Limited System and method for facilitating elastic error correction code in memory
US11385833B2 (en) 2020-04-20 2022-07-12 Alibaba Group Holding Limited Method and system for facilitating a light-weight garbage collection with a reduced utilization of resources
US11281575B2 (en) 2020-05-11 2022-03-22 Alibaba Group Holding Limited Method and system for facilitating data placement and control of physical addresses with multi-queue I/O blocks
US11461262B2 (en) 2020-05-13 2022-10-04 Alibaba Group Holding Limited Method and system for facilitating a converged computation and storage node in a distributed storage system
US11494115B2 (en) 2020-05-13 2022-11-08 Alibaba Group Holding Limited System method for facilitating memory media as file storage device based on real-time hashing by performing integrity check with a cyclical redundancy check (CRC)
US11218165B2 (en) 2020-05-15 2022-01-04 Alibaba Group Holding Limited Memory-mapped two-dimensional error correction code for multi-bit error tolerance in DRAM
US11507499B2 (en) 2020-05-19 2022-11-22 Alibaba Group Holding Limited System and method for facilitating mitigation of read/write amplification in data compression
US11556277B2 (en) 2020-05-19 2023-01-17 Alibaba Group Holding Limited System and method for facilitating improved performance in ordering key-value storage with input/output stack simplification
US11263132B2 (en) 2020-06-11 2022-03-01 Alibaba Group Holding Limited Method and system for facilitating log-structure data organization
US11422931B2 (en) 2020-06-17 2022-08-23 Alibaba Group Holding Limited Method and system for facilitating a physically isolated storage unit for multi-tenancy virtualization
US11354200B2 (en) 2020-06-17 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating data recovery and version rollback in a storage device
US11354233B2 (en) 2020-07-27 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating fast crash recovery in a storage device
US11372774B2 (en) 2020-08-24 2022-06-28 Alibaba Group Holding Limited Method and system for a solid state drive with on-chip memory integration
US11487465B2 (en) 2020-12-11 2022-11-01 Alibaba Group Holding Limited Method and system for a local storage engine collaborating with a solid state drive controller
US11734115B2 (en) 2020-12-28 2023-08-22 Alibaba Group Holding Limited Method and system for facilitating write latency reduction in a queue depth of one scenario
US11416365B2 (en) 2020-12-30 2022-08-16 Alibaba Group Holding Limited Method and system for open NAND block detection and correction in an open-channel SSD
US11726699B2 (en) 2021-03-30 2023-08-15 Alibaba Singapore Holding Private Limited Method and system for facilitating multi-stream sequential read performance improvement with reduced read amplification
US11461173B1 (en) 2021-04-21 2022-10-04 Alibaba Singapore Holding Private Limited Method and system for facilitating efficient data compression based on error correction code and reorganization of data placement
US11476874B1 (en) 2021-05-14 2022-10-18 Alibaba Singapore Holding Private Limited Method and system for facilitating a storage server with hybrid memory for journaling and data storage
CN117242326A (en) * 2021-06-25 2023-12-15 华为技术有限公司 Exchange chip and power supply method
CN113555372B (en) * 2021-06-30 2022-06-07 广芯微电子(广州)股份有限公司 Partition filling unit and multi-voltage-domain low-power-consumption chip
US20230015697A1 (en) * 2021-07-13 2023-01-19 Citrix Systems, Inc. Application programming interface (api) authorization
US11573624B1 (en) * 2022-06-08 2023-02-07 Ambiq Micro, Inc. System for providing power to low power systems
KR102643032B1 (en) * 2023-09-19 2024-03-04 주식회사 잇다반도체 Power control system, and system-on chip device including the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6631502B2 (en) * 2002-01-16 2003-10-07 International Business Machines Corporation Method of analyzing integrated circuit power distribution in chips containing voltage islands

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63104443A (en) * 1986-10-22 1988-05-09 Hitachi Ltd Large-scale integrated circuit
US5440749A (en) * 1989-08-03 1995-08-08 Nanotronics Corporation High performance, low cost microprocessor architecture
JP3082103B2 (en) * 1991-08-08 2000-08-28 富士通株式会社 Processor
EP0632360A1 (en) 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
JPH07105174A (en) 1993-10-07 1995-04-21 Hitachi Ltd One-chip microcomputer
WO1996025796A1 (en) 1995-02-17 1996-08-22 Intel Corporation Power dissipation control system for vlsi chips
US5918061A (en) 1993-12-29 1999-06-29 Intel Corporation Enhanced power managing unit (PMU) in a multiprocessor chip
US5640573A (en) 1994-02-02 1997-06-17 Advanced Micro Devices, Inc. Power management message bus for integrated processor
JP3718251B2 (en) * 1994-02-28 2005-11-24 株式会社ルネサステクノロジ Data processing device
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
EP0809825A1 (en) 1995-02-14 1997-12-03 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
JPH08234861A (en) * 1995-02-28 1996-09-13 Fujitsu Ltd Power consumption reduced processor
US5719800A (en) 1995-06-30 1998-02-17 Intel Corporation Performance throttling to reduce IC power consumption
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
CN1192298C (en) * 1996-01-17 2005-03-09 德克萨斯仪器股份有限公司 Method and system for controlling computer operation in response to central processing unit operating characteristics
US6076141A (en) 1996-01-24 2000-06-13 Sun Microsytems, Inc. Look-up switch accelerator and method of operating same
US5940785A (en) * 1996-04-29 1999-08-17 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US5887179A (en) * 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
JPH10222253A (en) * 1997-02-07 1998-08-21 Hitachi Ltd Information processing system
US6462976B1 (en) * 1997-02-21 2002-10-08 University Of Arkansas Conversion of electrical energy from one form to another, and its management through multichip module structures
US6115823A (en) * 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US6411156B1 (en) 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
JP3524337B2 (en) * 1997-07-25 2004-05-10 キヤノン株式会社 Bus management device and control device for multifunction device having the same
JP3150082B2 (en) * 1997-08-08 2001-03-26 日本電気株式会社 High-speed transmission compatible connector
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
KR100321976B1 (en) 1997-12-29 2002-05-13 윤종용 Fault tolerant voltage regulator module circuit for intel processors
JP3573957B2 (en) * 1998-05-20 2004-10-06 インターナショナル・ビジネス・マシーンズ・コーポレーション Operating speed control method of processor in computer and computer
WO2000002118A1 (en) 1998-07-02 2000-01-13 Hitachi, Ltd. Microprocessor
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
EP0991191B1 (en) * 1998-09-09 2003-07-30 Texas Instruments Incorporated System and method for reducing power dissipation in a circuit
US6496729B2 (en) 1998-10-28 2002-12-17 Medtronic, Inc. Power consumption reduction in medical devices employing multiple supply voltages and clock frequency control
US6415388B1 (en) 1998-10-30 2002-07-02 Intel Corporation Method and apparatus for power throttling in a microprocessor using a closed loop feedback system
US6484265B2 (en) 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US6477654B1 (en) 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
US6166985A (en) 1999-04-30 2000-12-26 Intel Corporation Integrated circuit low leakage power circuitry for use with an advanced CMOS process
WO2001001228A1 (en) * 1999-06-29 2001-01-04 Hitachi, Ltd. System lsi
JP2001238190A (en) * 2000-02-25 2001-08-31 Canon Inc Image processing apparatus and its control processing method
JP2001306196A (en) * 2000-04-26 2001-11-02 Matsushita Electric Ind Co Ltd Image processing integrated circuit, image communication device and method of image communication
JP3878431B2 (en) 2000-06-16 2007-02-07 株式会社ルネサステクノロジ Semiconductor integrated circuit device
EP1182552A3 (en) 2000-08-21 2003-10-01 Texas Instruments France Dynamic hardware configuration for energy management systems using task attributes
US6664775B1 (en) 2000-08-21 2003-12-16 Intel Corporation Apparatus having adjustable operational modes and method therefore
EP1182548A3 (en) 2000-08-21 2003-10-15 Texas Instruments France Dynamic hardware control for energy management systems using task attributes
US6968467B2 (en) 2000-10-26 2005-11-22 Matsushita Electric Industrial Co., Ltd. Decentralized power management system for integrated circuit using local power management units that generate control signals based on common data
JP4181317B2 (en) 2000-10-26 2008-11-12 松下電器産業株式会社 Integrated circuit power management system
US6792582B1 (en) * 2000-11-15 2004-09-14 International Business Machines Corporation Concurrent logical and physical construction of voltage islands for mixed supply voltage designs
JP2002182776A (en) 2000-12-18 2002-06-26 Kenwood Corp System and method for controlling operating frequency
US20020087904A1 (en) 2000-12-28 2002-07-04 Zhong-Ning (George) Cai Method and apparatus for thermal sensitivity based dynamic power control
JP3884914B2 (en) * 2001-01-30 2007-02-21 株式会社ルネサステクノロジ Semiconductor device
US20020112193A1 (en) * 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
JP3888070B2 (en) * 2001-02-23 2007-02-28 株式会社ルネサステクノロジ Logic circuit module having power consumption control interface and storage medium storing the module
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US6509788B2 (en) * 2001-03-16 2003-01-21 Hewlett-Packard Company System and method utilizing on-chip voltage controlled frequency modulation to manage power consumption
US6535735B2 (en) * 2001-03-22 2003-03-18 Skyworks Solutions, Inc. Critical path adaptive power control
US6836849B2 (en) * 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
US7058834B2 (en) 2001-04-26 2006-06-06 Paul Richard Woods Scan-based state save and restore method and system for inactive state power reduction
US7254721B1 (en) 2001-05-01 2007-08-07 Advanced Micro Devices, Inc. System and method for controlling an intergrated circuit to enter a predetermined performance state by skipping all intermediate states based on the determined utilization of the intergrated circuit
JP2002366351A (en) * 2001-06-06 2002-12-20 Nec Corp Super-scalar processor
US7174543B2 (en) * 2001-08-29 2007-02-06 Analog Devices, Inc. High-speed program tracing
JP2003086693A (en) * 2001-09-12 2003-03-20 Nec Corp Semiconductor integrated circuit
JP2003099148A (en) * 2001-09-19 2003-04-04 Sanyo Electric Co Ltd Data processor and system controller available for the same, and data conversion method
JP4974202B2 (en) * 2001-09-19 2012-07-11 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit
US7111178B2 (en) * 2001-09-28 2006-09-19 Intel Corporation Method and apparatus for adjusting the voltage and frequency to minimize power dissipation in a multiprocessor system
JP4050027B2 (en) * 2001-09-28 2008-02-20 株式会社日立製作所 Information processing apparatus and information processing apparatus control method
US6523150B1 (en) * 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US6976182B1 (en) 2002-02-01 2005-12-13 Advanced Micro Devices, Inc. Apparatus and method for decreasing power consumption in an integrated circuit
US6667648B2 (en) * 2002-04-23 2003-12-23 International Business Machines Corporation Voltage island communications circuits
US6779169B1 (en) * 2002-05-31 2004-08-17 Altera Corporation Method and apparatus for placement of components onto programmable logic devices
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US6779163B2 (en) * 2002-09-25 2004-08-17 International Business Machines Corporation Voltage island design planning
US6820240B2 (en) * 2002-09-25 2004-11-16 International Business Machines Corporation Voltage island chip implementation
US6711447B1 (en) 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US7085945B2 (en) 2003-01-24 2006-08-01 Intel Corporation Using multiple thermal points to enable component level power and thermal management
TWI371674B (en) * 2003-05-07 2012-09-01 Mosaid Technologies Inc Managing power on integrated circuits using power islands
US7080341B2 (en) * 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
WO2005125012A1 (en) * 2004-06-15 2005-12-29 Koninklijke Philips Electronics N.V. Adaptive control of power supply for integrated circuits
US7382178B2 (en) * 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7279956B2 (en) * 2004-07-09 2007-10-09 Mosaid Technologies Incorporated Systems and methods for minimizing static leakage of an integrated circuit
US7490073B1 (en) * 2004-12-21 2009-02-10 Zenprise, Inc. Systems and methods for encoding knowledge for automated management of software application deployments
US8015426B2 (en) * 2008-03-27 2011-09-06 International Business Machines Corporation System and method for providing voltage power gating
US8390249B2 (en) * 2009-11-30 2013-03-05 Broadcom Corporation Battery with integrated wireless power receiver and/or RFID

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6631502B2 (en) * 2002-01-16 2003-10-07 International Business Machines Corporation Method of analyzing integrated circuit power distribution in chips containing voltage islands

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
LACKEY ET AL: "Managing power and performance for system-on-chip designs using Voltage Islands", IEEE INTERNATIONAL CONFERENCE ON COMPUTER AIDED DESIGN, November 2002 (2002-11-01), pages 195 - 202, XP010624646 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101424534B1 (en) * 2006-12-31 2014-08-01 샌디스크 테크놀로지스, 인코포레이티드 Systems, circuits, chips and methods with protection at power island boundaries

Also Published As

Publication number Publication date
US11362645B2 (en) 2022-06-14
US20090152948A1 (en) 2009-06-18
JP2009277252A (en) 2009-11-26
US7996811B2 (en) 2011-08-09
US20170288649A1 (en) 2017-10-05
KR20110011750A (en) 2011-02-08
EP3321769A1 (en) 2018-05-16
US20120043812A1 (en) 2012-02-23
TWI471714B (en) 2015-02-01
JP2013117994A (en) 2013-06-13
EP1623349B1 (en) 2018-01-24
JP4992131B2 (en) 2012-08-08
US20210036689A1 (en) 2021-02-04
US7051306B2 (en) 2006-05-23
US8762923B2 (en) 2014-06-24
US20120256485A1 (en) 2012-10-11
US10243542B2 (en) 2019-03-26
KR20060017773A (en) 2006-02-27
US20140333134A1 (en) 2014-11-13
TW200510985A (en) 2005-03-16
KR101189346B1 (en) 2012-10-09
EP1623349A4 (en) 2011-06-29
KR100915258B1 (en) 2009-09-03
KR100992177B1 (en) 2010-11-04
CN100416573C (en) 2008-09-03
CN1820270A (en) 2006-08-16
TW201235813A (en) 2012-09-01
US20160087608A1 (en) 2016-03-24
TW200945021A (en) 2009-11-01
JP2012123823A (en) 2012-06-28
KR20090053964A (en) 2009-05-28
US8782590B2 (en) 2014-07-15
TWI371674B (en) 2012-09-01
US20040268278A1 (en) 2004-12-30
US20080276105A1 (en) 2008-11-06
US20190173453A1 (en) 2019-06-06
JP2007501478A (en) 2007-01-25
US7945885B2 (en) 2011-05-17
US7415680B2 (en) 2008-08-19
US9660616B2 (en) 2017-05-23
TWI397795B (en) 2013-06-01
KR20100053697A (en) 2010-05-20
EP1623349A2 (en) 2006-02-08
US9166412B2 (en) 2015-10-20
US10749506B2 (en) 2020-08-18
KR101053010B1 (en) 2011-07-29
WO2004102623A2 (en) 2004-11-25
US20060123365A1 (en) 2006-06-08

Similar Documents

Publication Publication Date Title
WO2004102623A3 (en) Managing power on integrated circuits using power islands
ATE335276T1 (en) METHOD FOR REDUCING CURRENT CONSUMPTION IN A STATE HOLDING CIRCUIT, STATE HOLDING CIRCUIT AND ELECTRONIC DEVICE
WO2004063916A3 (en) Memory controller considering processor power states
AU2003287421A1 (en) Integrated circuit having multiple modes of operation
WO2002079957A3 (en) Power saving in computing applications
WO2006068730A3 (en) Method and apparatus to manage power consumption of a semiconductor device
WO2005001895A3 (en) Patterned thin film graphite devices and method for making same
WO2002071918A3 (en) Method for producing undercut surface recesses
AU2003253581A1 (en) Automatic energy management and energy consumption reduction, especially in commercial and multi- building systems
EP1444563A4 (en) Method and apparatus for controlling data rate on a forward channel in a wireless communication system
WO2005106625A3 (en) Selecting input/output devices to control power consumption of a computer system
WO2004061635A3 (en) Adaptive power control
EP1122854A3 (en) Power storage device and method of measuring voltage of storage battery
WO2004077258A3 (en) System and method for structuring distributed applications
WO2005101286A3 (en) Processing of signals with regenerative opto-electronic circuits
JP2007501478A5 (en)
WO2003063390A3 (en) Appliance communication method and apparatus
WO2006073899A3 (en) Operating point management in multi-core architectures
TW200610080A (en) Electronic device and method of manufacturing the same
WO2004027567A3 (en) A method for managing an investment portfolio
WO2005011183A3 (en) System and method for wake on wireless lan
CN102099762B (en) System and method for power management
WO2004059452A3 (en) Power management system
EP1074797A4 (en) Operation control method for air conditioning system and air conditioning system
DE69811428T2 (en) Power generation detection circuit, semiconductor device, electronic device, clockwork, power generation detection and power consumption control method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480019586.0

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2006532832

Country of ref document: JP

Ref document number: 1020057021157

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004751547

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 3310/CHENP/2005

Country of ref document: IN

WWP Wipo information: published in national office

Ref document number: 2004751547

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057021157

Country of ref document: KR