WO2005017785A1 - Method for creating patterns for producing integrated circuits - Google Patents

Method for creating patterns for producing integrated circuits Download PDF

Info

Publication number
WO2005017785A1
WO2005017785A1 PCT/US2003/021997 US0321997W WO2005017785A1 WO 2005017785 A1 WO2005017785 A1 WO 2005017785A1 US 0321997 W US0321997 W US 0321997W WO 2005017785 A1 WO2005017785 A1 WO 2005017785A1
Authority
WO
WIPO (PCT)
Prior art keywords
features
feature
writing
design
context information
Prior art date
Application number
PCT/US2003/021997
Other languages
French (fr)
Inventor
Louis K. Sheffer
Kenji Yoshida
Yoshikuni Abe
Aki Fujimura
Robert C. Pack
Original Assignee
Cadence Design Systems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems, Inc. filed Critical Cadence Design Systems, Inc.
Priority to JP2005507805A priority Critical patent/JP2007521500A/en
Priority to EP03818146A priority patent/EP1644855A4/en
Priority to AU2003249230A priority patent/AU2003249230A1/en
Priority to PCT/US2003/021997 priority patent/WO2005017785A1/en
Publication of WO2005017785A1 publication Critical patent/WO2005017785A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the invention is related to the field of mask writing and mask inspection for integrated circuits.
  • the process of manufacturing integrated circuits uses masks to create the circuit on silicon.
  • the masks are only created once for each chip design, but must be highly accurate.
  • Traditional mask writing methods typically offer a tradeoff between speed and accuracy.
  • the process of manufacturing the mask usually employs techniques that give the highest possible accuracy, at the cost of slower mask creation. Techniques that allow faster mask creation are often less useful since they result in significantly less accurate masks.
  • multi-pass writing is a technique commonly used in the generation of IC masks to get higher accuracy at the cost of writing time. This technique averages out the errors made in writing each figure.
  • a typical mask writing machine such as the Toshiba/NuFlare EBM-4000, only reaches its specified accuracy when the writing is done in 4 independent passes.
  • context information can be used to distinguish portions of the mask that are critical from portions that are less critical.
  • the important features may be written with a higher accuracy than the less critical features, without reducing the writing speed of the critical features.
  • the critical parts may be written with methods assuring adequate accuracy, which may be slower than the lower accuracy writing processes used to write the less critical features.
  • the determination of which features are critical, and the manner in which they are critical may be made by a process that evaluates design context in light of one or more design parameters. In some cases, the determination may be implied by semiconductor processing.
  • Figure 1 shows an example of a multi-pass writing strategy based on context information.
  • Figure 2 shows an example of parts of polygons identified as critical based on context information.
  • Figure 3 shows an example of assigning critical regions to one stripe based on context information.
  • Figure 4 shows an example of critical features placed at locations selected with context information which are suitable for increasing the speed of mask writing.
  • Figure 5 shows an example of a block based floorplanner to allow a user to consider context information to decide the locations of blocks for increasing the speed of mask writing.
  • Figure 6 shows an example of a process of mask making and chip fabrication using context information.
  • Figure 7 shows an example of using information from the context specific mask writing database for writing one layer to a mask with one or more passes.
  • Figure 8 shows an example of using context specific data to partition the layer into stripes, and to assign the polygons of the layer to a corresponding stripe.
  • Figure 9 shows an example of using context specific information to provide a user with a desired speed for writing the mask.
  • Figure 10 shows an example of an automatic procedure for using context information to determine a writing plan to write a mask.
  • Figures 11 A through 11G show examples of information provided by considering design features with respect to the context of the design.
  • Using context information to perform mask writing can improve both the speed and the accuracy of the mask writing process.
  • Design information and context information generated from the design information (such as, for example, timing, critical paths, and polygon data) maybe used to perform an analysis of the context of design features to identify critical features of the design.
  • mask writing can be optimized so that certain places of the mask are written with a high accuracy writing process, and other places of the mask are written with a process that is optimized for writing speed. Providing multiple writing processes to be used when writing different portions of the mask reduces the overall cost of each mask.
  • the context information used for determining the relative importance of design features to select one of the multiple writing processes can include explicit information about the designer's intent (for example, the designer can state which parts are most critical), or it can be derived from characteristics of the design. For example, in a design layout, the size of a polygon in layer polysilicon may be very critical, because the size defines a transistor's dimensions. The spacing between polygons may be less critical. Likewise, even within a single polysilicon figure, the part that defines the gate (overlays the diffusion) may be the most critical, and the rest of the polygon may be less so. These forms of design context can be derived by examining combinations of layers of the integrated circuit (IC) to deduce which polygons, and portions of polygons, are critical.
  • IC integrated circuit
  • Examples of information to provide design context for one or more design features include data about neighboring geometries of a feature, the electrical intent of the feature, the timing of the intended circuit that includes the feature, and any possible redundancies or relationships of the feature with neighboring features, for example.
  • the context information allows the importance of a particular feature relative to other features of the design to be determined based on factors such as why the feature is located in a particular place within the design, what the intended use of the feature is within the design, and electrical requirements of the feature within the design. Given the relative importance of the feature, appropriate writing and inspecting processes may be selected, so that the feature is written and inspected with a desired level of accuracy.
  • a method of understanding the purpose and circumstances of each figure to be written (the context), a model of the operation of the mask (or wafer) writing machine, and, if desired, interaction with the user, provides a way to determine a better tradeoff between speed and accuracy in writing masks or wafers.
  • the context is writing small features where accurate width is more important than accurate spacing
  • the method may perform the mask writing so that it occurs in stripes to write masks (or wafers) more quickly.
  • portions of features are to be written to greater accuracy than the figure as a whole, and the accuracy for each portion is determined by design context, then the mask writing can occur in stripes, and user input may or may not be used to establish context, or part of the context.
  • the user defines an arbitrary layout.
  • a computer implemented process uses a model of the mask writing process to determine how fast the mask can be written.
  • the computer implemented process presents the mask writing time, and graphical information showing which features forced slower writing, if any, were found.
  • the user if not satisfied, can interact with the context information to change the design to obtain a more preferred tradeoff between accuracy achieved and mask (or wafer) writing time.
  • One example of the user interaction with the context information is by lines separating regions. Many other equivalent ideas could be used in place of lines. For example, the regions could be different colors or different fill patterns, blink at different rates, or blink when the cursor moves over them.
  • a display system such as a floorplanner for example, shows graphical information, which if followed by the user, results in the highest speed of mask writing. Then the user, if they desire, follow this information to ensure that their design can in fact be written as fast as possible.
  • the display system could also be any graphical layout tool, such as a layout editor, a wiring editor for a place and route tool, for example.
  • a layout editor such as a layout editor, a wiring editor for a place and route tool, for example.
  • the user has in mind a desired pattern on the final wafer. However, since the printing process is not perfect, the computer often adds additional features, not explicitly requested by the user, to make the final printed result more closely resemble the user's desired pattern. These additional features are called RET or Resolution Enhancement Technology features.
  • correction features e.g., features to provide the best possible correction
  • design features which may result in un-needed writing time
  • 'shot count' a related quantity
  • each feature can (in general) be RET corrected in many ways. Using knowledge of the mask writing process, a specific RET correction can be determined based upon the speed (and possibly accuracy) of writing.
  • a computer processing system may have a model of the mask writing process, and a computer program stored in a computer readable memory is executed by the computer processing system to modify the layout of the chip to achieve a fast mask writing time.
  • cells are in rows, and the computer implemented process determines the position of the rows to optimize the mask writing time.
  • the context is writing RET (Resolution Enhancement technology) features.
  • the computer system stores a model of the mask writing process, and the computer systems executes a process to choose OPC (Optical Proximity Correction) features to be written based on mask writing speed and accuracy.
  • OPC Optical Proximity Correction
  • a multi-pass writing strategy uses context information, as shown with reference to Figure 1.
  • the context information, or design intent information can be used to increase the speed and accuracy of the mask writing process.
  • the critical features which may be elements A and B for example, are identified as critical. If increasing the number of passes of a writing process increases the writing accuracy, then the critical features may be written by a process that includes multiple writing passes. Since the critical features may be only a small fraction of the total mask, this writing process may be much faster than writing the whole mask in multi-pass mode. The remaining less critical features can be written in a mode having fewer passes, such as one pass for example. Depending on several factors, such as the density of critical features and the relative speeds of the two modes, the speed of the writing process is increased by reducing the number of passes for writing non-critical features.
  • the dotted line shown in Figure 1 represents the boundary between the first and second stripes, such that each feature is contained within one of the stripes.
  • the context based approach of containing each critical feature within a stripe avoids boundary errors that may affect yield or performance. Also, this approach allows masks written in a single pass writing process to have the same yield as masks currently written using multi-pass techniques. For example, mask writing errors may have several components (e.g., sub-field registration errors, stripe registration errors, and random e ⁇ ors). For example, a large portion of a mask writing error budget may be allocated for stripe to stripe registration, which is used to maintain full accuracy for features that cross stripe boundaries.
  • the accuracy of a feature contained fully within a stripe, and written with one pass is comparable to the accuracy a stripe- crossing feature written with multiple passes.
  • critical polygons maybe small compared to the scan overlap of stripes 1 and 2, the writing process can create a scan boundary to prevent each polygon from crossing a scan boundary.
  • the dotted line of Figure 1 is drawn across the chip so that it does not hit any polygons and remains within the scan boundary, h this figure, polygons A, C and D are written as part of the lower stripe, since they fall below the line.
  • Polygons B, E and F are written as part of the upper stripe.
  • Polygon G a large non-critical polygon, is bigger than the stripe overlap.
  • the less important features may cross a stripe boundary.
  • the less important features may also be written with a single pass process to increase the speed of the writing process. However, these written features may be less accurate than the important features if these less important features cross a boundary between stripes.
  • IC Integrated Circuit
  • the polysilicon is used to perform several functions - e.g., to form connection to contacts, create interconnect, form gates, or extend past gates to prevent shorts.
  • the area over the gate is written so that the gate and the smallest transistors are written with tight tolerances, as shown by elements A through E.
  • an analysis of context information can be used ensure that no logic gate area is written across a stripe boundary (or, at least no gate area that is critical to circuit operation) by using the context information to identify logic gate areas (or critical logic gate areas) and to place them within boundaries of one stripe.
  • Figure 2 shows an example of parts of each polygon identified as critical based on an analysis of context information. The information may also describe why each feature is critical.
  • each critical portion of each polygon may be written in one stripe, and each non-critical portion may be written in two stripes. Therefore, even if a polygon crosses a stripe boundary, the important portions of the polygon may be written entirely in one stripe, to ensure their accuracy.
  • the areas A and B are written in stripe 1.
  • the portions D and E are written in stripe 2.
  • the portion C can be written in either stripe, as can the remaining parts of each polygon, which do not require as much accuracy.
  • the large feature of Figure 2 (shown as the I/O driver, or scribe ring) may necessarily have places where it has the full stripe-to-stripe error. This may be acceptable since such large features do not (in general) have critical dimensions and can be written with lower tolerances. Additional context information can also be used in the writing process. For example, if the spacing between B and C is critical, or if devices B and C are to be matched as closely as possible, then C should be written in the bottom stripe.
  • the attributes that are used for identifying critical areas may be selected from the design context. This shows an example of the concept that the particular attributes used to identify important areas may differ. For example, some areas are critical in terms of area, some in terms of width, some for their length, some for a mean expected error, and some for matching another area. In general, this process may be used by drawing a line across the whole chip, within the stripe overlap, which avoids the critical regions. Then, each critical region may be assigned to one stripe or another, as shown in Figure 3.
  • this process may be performed automatically, using a computer analysis method to locate critical features using context information, and to place the critical features within the boundaries of a stripe, so that no critical feature crosses a stripe boundary.
  • a user in a block based floorplanner for example may decide the locations of the blocks, as shown in Figure 5, so that the faster mask writing techniques can be used. The user may do so by placing entire polygons, or critical portions of polygons, within a stripe.
  • An automated process may also be used to shift the design features based on an analysis of context information so that the features, or the important portions of the features, avoid crossing the stripe boundaries.
  • a large cell (higher than a stripe size) should not be sensitive to the worse case overlap, because the cell overlaps the boundary.
  • the importance of design elements can be associated with attributes of features, rather than with features themselves.
  • the width is the most important, some matching is most important, some area is most important, or some edge placement.
  • the assignment of features to stripes thus maybe driven by which attributes of each figure are considered important (rather than whether the figure itself is important), and possible improvement of mask writing speed.
  • a figure where the width is an important attribute, or the most important for example may be written entirely in one stripe, as well as two figures that are supposed to match may both be written in the same stripe.
  • Figure 6 shows an example of a process of mask making and chip fabrication using context information.
  • a design database is created that contains information for each layer of the IC design, 610.
  • a context specific mask writing database for each layer is created, 620.
  • the mask writing database includes information for each feature of the layer, and information about the context of the feature produced from the circuit design.
  • a mask writing machine uses the context specific data to generate the mask, 630.
  • the mask is then used in semiconductor fabrication, 640.
  • Figure 7 shows an example of using information from the context specific mask writing database for writing one layer to a mask with one or more passes.
  • the context specific mask writing database is generated, 710.
  • Data from the context specific mask writing database is used to partition the layer into stripes based on the context information of the features for the layer, 720.
  • Each feature may be divided into elementary shapes, 730.
  • a compensation factor may be computed for neighboring shapes, 740.
  • Each stripe is written onto the mask, 750. The process may return to 720 if multiple passes to increase accuracy are desired, 760.
  • Figure 8 shows an example of using context specific data to partition the layer into stripes, and to assign the polygons of the layer to a corresponding stripe. Data for polygons to be written in neighboring stripes N and N+l is considered, 810. Each polygon in the neighboring stripes is assigned to one or both of the stripes by using the context specific data for the polygon.
  • the stripe that can produce a more accurate polygon on the mask during writing is selected as the assigned stripe for the polygon.
  • the polygon may be assigned to stripe N, 820.
  • the polygon is placed in stripe N, 822.
  • the polygon may be assigned to stripe N+l , 830.
  • the polygon is placed in stripe N+l , 832. If the polygon may be placed in either stripe, 840, the polygon may be placed in stripe N, 822, or placed in stripe N+l, 832. If the polygon is too large to be contained in a single stripe, 850, the polygon is divided into multiple portions, 852, and portions are assigned both stripes, N and N+l .
  • the divided polygon may be written with less resolution than a polygon that is contained within a stripe.
  • the polygons of each stripe are written to the mask, 860.
  • the next stripe may then be considered by returning to 810 and incrementing N by 1.
  • the process may continue until each stripe has been considered and written to the mask.
  • Figure 9 shows an example of using context specific information to provide a user with a desired speed for writing the mask.
  • a user devises a preliminary mask writing plan, 910. When devising the plan, the user can assign certain features to certain stripes based on context information of the features.
  • a processor then calculates a writing time for the given plan, 920. The user then accepts or rejects the plan based on the calculated writing time, 930.
  • FIG. 10 shows an example of an automatic procedure for using context information to determine a writing plan to write a mask for a user within an acceptable amount of time.
  • the user enters a high level description of the circuit design, such as an RTL description for example, 1010.
  • the user may also enter a preliminary plan for writing the mask.
  • a computer processor then moves or places cells of the design to produce a plan for a fast writing process, 1020.
  • the user determines whether the writing time of the plan is acceptable, 1030.
  • Figures 11 A through 11G show examples of information provided by considering design features with respect to the context of the design. This context information, which may be used to identify critical features, is significantly more useful than labeling each design feature with a tag that provides no indication as to why or how the feature is critical.
  • the element is a via, represented as a larger square on a first layer and a smaller square on a second layer. The context of the via can be used to identify which features of the via are critical. A fabrication rule may state that the via is completely enclosed by the 1 first layer, even in the presence of process uncertainty.
  • the smaller square on the second layer may be in different locations relative to the larger square on the first layer.
  • the placements of the sides are not critical.
  • one side is critical.
  • two sides are critical.
  • Figure HE shows an example having three sides that are critical.
  • placement of all four sides is critical.
  • Figure 11G portions of some edges are critical and others are not.
  • the context based approach allows writing at higher speeds without sacrificing accuracy in the places where it is desired.
  • the mask writing process that uses context information provides optimizations for both writing speed and writing accuracy simultaneously. For example, one unified procedure may be used for determining the writing speed, where the writing order within that procedure is arranged to meet the user's desired writing accuracy, unlike traditional methods which require different writing procedures for different elements, such as critical and non-critical elements for example.
  • This context based approach allows the mask writing to take into account relationships between shapes as well as the characteristics of the shapes themselves using design context, such as the geometrical neighborhood of shapes and the electrical requirements of the resulting chip.
  • This approach allows the user to make tradeoffs between mask fabrication decisions and other concerns such as electrical or physical tolerances, time to market, mask cost, and yield.
  • Previous approaches only did very crude analysis, did not help the user in making tradeoffs, and only allowed these decisions at very high levels of granularity (for example, either the whole chip is written at high precision, or it is not).
  • the user when creating a floorplan or a chip layout, may assess if any features have been created that are slow to write, in contrast to previous approaches that do not allow this analysis at either the floor plan or layout level.
  • the user may also constrain or modify the design based on the context information so that the masks can be written more quickly. Previous approaches did not allow the user any visibility into the mask writing speed, nor any control over it.
  • the context specific mask writing process permits design intent information to be used to decide how accurately each mask feature is reproduced when mask writing occurs.
  • the design intent may also be used in the context specific writing process to improve the speed of writing mask features, as opposed to changing the number of features that need to be written.
  • a context based writing database may be created that stores, along with each feature to be written, the desired accuracy of that feature, and the importance of each geometrical attribute of the feature.
  • a tool that can assess the tradeoffs between physical, circuit, or component attributes and mask fabrication decisions is provided with context specific mask writing.
  • a floorplanner than can assess a floorplan in terms of how long it will take to write for a desired level of accuracy can be created with the context based writing process.
  • the floorplanner can analyze a layout, and report how accurately each feature can be written for a desired writing speed.
  • the floorplanner may show graphical lines, which if not crossed by critical features, can allow for faster mask writing. Alternatively, the same lines can be used, and the user may be informed of the accuracy that can be achieved for each feature.
  • the floorplanner may automatically place at least a subset of the cells such that they are in the correct position for faster mask writing.
  • the context based writing method can also be used with a mask writing system where the mask is written in separate portions, and the error between the portions is larger then the error within the portion, and an average feature of the mask is smaller than the overlap between portions.
  • These portions may be stripes, squares, non- uniformly sized stripes, or other subsections of the mask, for example.

Abstract

To increase the writing speed of masks,, context information (630) can be used to distinguish the attributes of portions of the mask that are critical from attributes, and portions, that are less critical. By using this information, which may be derived from the design context of the features (620), the mask can be written (640) at a higher speed without sacrificing the accuracy of the important attributes or features.

Description

METHOD FOR CREATING PATTERNS FOR PRODUCING INTEGRATED CIRCUITS
FIELD OF THE INVENTION The invention is related to the field of mask writing and mask inspection for integrated circuits.
BACKGROUND OF THE INVENTION The process of manufacturing integrated circuits uses masks to create the circuit on silicon. The masks are only created once for each chip design, but must be highly accurate. Traditional mask writing methods typically offer a tradeoff between speed and accuracy. The process of manufacturing the mask usually employs techniques that give the highest possible accuracy, at the cost of slower mask creation. Techniques that allow faster mask creation are often less useful since they result in significantly less accurate masks. For example, multi-pass writing is a technique commonly used in the generation of IC masks to get higher accuracy at the cost of writing time. This technique averages out the errors made in writing each figure. A typical mask writing machine, such as the Toshiba/NuFlare EBM-4000, only reaches its specified accuracy when the writing is done in 4 independent passes. Typically 4 pass writing is twice as accurate as single pass writing due to the statistical averaging of errors (in this case the error goes down as the square root of the number of passes). However, 4 pass writing takes longer (almost 4 times as long) to write a mask. Raster-based machines offer similar tradeoffs, with large spots yielding faster writing but less accuracy, and small spots giving the best accuracy but the longest writing times. This extra time translates directly to mask cost. In the past, this tradeoff was acceptable since mask cost was not (in general) a significant expense compared to other project expenses. As the number of features on a chip, and their required accuracy, increases, the mask costs are becoming very significant indeed. A way to write the masks faster would be very useful in reducing the costs. Currently, however, conventional methods of doing this require relaxing the accuracy requirement, resulting in a mask that is less accurate. The resulting chip has a lower yield, and hence is not desirable. In conventional approaches, either all features were written to a single accuracy standard which resulted in a slower writing process, or a special database had to be provided to specify which items were more or less critical, but not why, thus limiting possible optimizations. So currently customers using this approach select between fast writing, which gives lower mask costs, but also lower yield production, and slow mask writing, which gives the best possible yield but at the cost of very slow to produce and expensive masks. Another approach uses a device for coupling design intent and OPC (Optical Proximity Correction). The design intent is used to write fewer mask features, with the same overall goal of minimizing mask cost. These proposals concentrate on writing fewer figures and do not consider the possibility of writing the same number of mask features, but writing the less-critical features with a faster but less accurate method.
SUMMARY OF THE INVENTION To increase the yield of the chips produced by a mask, context information can be used to distinguish portions of the mask that are critical from portions that are less critical. By using this information, which may be derived from the design context of the features, the important features may be written with a higher accuracy than the less critical features, without reducing the writing speed of the critical features. Alternatively, the critical parts may be written with methods assuring adequate accuracy, which may be slower than the lower accuracy writing processes used to write the less critical features. The determination of which features are critical, and the manner in which they are critical, may be made by a process that evaluates design context in light of one or more design parameters. In some cases, the determination may be implied by semiconductor processing. For example, when writing a polysilicon mask, the accuracy of the width may be much more important than the accuracy of the spacing between two features. In general, the information used to determine which aspects of which features are critical reflects the context of the features within the design. BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 shows an example of a multi-pass writing strategy based on context information. Figure 2 shows an example of parts of polygons identified as critical based on context information. Figure 3 shows an example of assigning critical regions to one stripe based on context information. Figure 4 shows an example of critical features placed at locations selected with context information which are suitable for increasing the speed of mask writing. Figure 5 shows an example of a block based floorplanner to allow a user to consider context information to decide the locations of blocks for increasing the speed of mask writing. Figure 6 shows an example of a process of mask making and chip fabrication using context information. Figure 7 shows an example of using information from the context specific mask writing database for writing one layer to a mask with one or more passes. Figure 8 shows an example of using context specific data to partition the layer into stripes, and to assign the polygons of the layer to a corresponding stripe. Figure 9 shows an example of using context specific information to provide a user with a desired speed for writing the mask. Figure 10 shows an example of an automatic procedure for using context information to determine a writing plan to write a mask. Figures 11 A through 11G show examples of information provided by considering design features with respect to the context of the design.
DETAILED DESCRIPTION Using context information to perform mask writing can improve both the speed and the accuracy of the mask writing process. Design information and context information generated from the design information (such as, for example, timing, critical paths, and polygon data) maybe used to perform an analysis of the context of design features to identify critical features of the design. Using the critical feature data, mask writing can be optimized so that certain places of the mask are written with a high accuracy writing process, and other places of the mask are written with a process that is optimized for writing speed. Providing multiple writing processes to be used when writing different portions of the mask reduces the overall cost of each mask. The context information used for determining the relative importance of design features to select one of the multiple writing processes can include explicit information about the designer's intent (for example, the designer can state which parts are most critical), or it can be derived from characteristics of the design. For example, in a design layout, the size of a polygon in layer polysilicon may be very critical, because the size defines a transistor's dimensions. The spacing between polygons may be less critical. Likewise, even within a single polysilicon figure, the part that defines the gate (overlays the diffusion) may be the most critical, and the rest of the polygon may be less so. These forms of design context can be derived by examining combinations of layers of the integrated circuit (IC) to deduce which polygons, and portions of polygons, are critical. Examples of information to provide design context for one or more design features include data about neighboring geometries of a feature, the electrical intent of the feature, the timing of the intended circuit that includes the feature, and any possible redundancies or relationships of the feature with neighboring features, for example. The context information allows the importance of a particular feature relative to other features of the design to be determined based on factors such as why the feature is located in a particular place within the design, what the intended use of the feature is within the design, and electrical requirements of the feature within the design. Given the relative importance of the feature, appropriate writing and inspecting processes may be selected, so that the feature is written and inspected with a desired level of accuracy. A method of understanding the purpose and circumstances of each figure to be written (the context), a model of the operation of the mask (or wafer) writing machine, and, if desired, interaction with the user, provides a way to determine a better tradeoff between speed and accuracy in writing masks or wafers. For example, if the context is writing small features where accurate width is more important than accurate spacing, the method may perform the mask writing so that it occurs in stripes to write masks (or wafers) more quickly. In an embodiment, if portions of features are to be written to greater accuracy than the figure as a whole, and the accuracy for each portion is determined by design context, then the mask writing can occur in stripes, and user input may or may not be used to establish context, or part of the context. The result may be faster mask writing with accuracy commensurate with the requirements of each portion of each figure. In one embodiment, the user defines an arbitrary layout. A computer implemented process uses a model of the mask writing process to determine how fast the mask can be written. The computer implemented process presents the mask writing time, and graphical information showing which features forced slower writing, if any, were found. The user, if not satisfied, can interact with the context information to change the design to obtain a more preferred tradeoff between accuracy achieved and mask (or wafer) writing time. One example of the user interaction with the context information is by lines separating regions. Many other equivalent ideas could be used in place of lines. For example, the regions could be different colors or different fill patterns, blink at different rates, or blink when the cursor moves over them. In an embodiment, a display system, such as a floorplanner for example, shows graphical information, which if followed by the user, results in the highest speed of mask writing. Then the user, if they desire, follow this information to ensure that their design can in fact be written as fast as possible. The display system could also be any graphical layout tool, such as a layout editor, a wiring editor for a place and route tool, for example. Often the user has in mind a desired pattern on the final wafer. However, since the printing process is not perfect, the computer often adds additional features, not explicitly requested by the user, to make the final printed result more closely resemble the user's desired pattern. These additional features are called RET or Resolution Enhancement Technology features. Two examples of ways this maybe done include adding correction features, e.g., features to provide the best possible correction, to the design features (which may result in un-needed writing time) or to minimize the number of added features (or minimize a related quantity called 'shot count'). This optimization for writing accuracy may result in slower mask writing and/or poorer than desired accuracy. (It is entirely possible, for example, than two RET objects related to a single user-defined feature could be written in different stripes, thus incurring a significant amount of error.) Using context information (such as, for example, that several features may be RET enhancements for a single user-defined feature), and information of the characteristics of the mask writing machine, the process can (for example) make sure that each user-defined feature, and its related RET features, are written together in one stripe. Furthermore, each feature can (in general) be RET corrected in many ways. Using knowledge of the mask writing process, a specific RET correction can be determined based upon the speed (and possibly accuracy) of writing. For example, if the desired accuracy of each piece is computed from context, a computer processing system may have a model of the mask writing process, and a computer program stored in a computer readable memory is executed by the computer processing system to modify the layout of the chip to achieve a fast mask writing time. In one embodiment, cells are in rows, and the computer implemented process determines the position of the rows to optimize the mask writing time. In another embodiment, the context is writing RET (Resolution Enhancement technology) features. The computer system stores a model of the mask writing process, and the computer systems executes a process to choose OPC (Optical Proximity Correction) features to be written based on mask writing speed and accuracy. In an embodiment a multi-pass writing strategy uses context information, as shown with reference to Figure 1. The context information, or design intent information, can be used to increase the speed and accuracy of the mask writing process. In one embodiment, the critical features, which may be elements A and B for example, are identified as critical. If increasing the number of passes of a writing process increases the writing accuracy, then the critical features may be written by a process that includes multiple writing passes. Since the critical features may be only a small fraction of the total mask, this writing process may be much faster than writing the whole mask in multi-pass mode. The remaining less critical features can be written in a mode having fewer passes, such as one pass for example. Depending on several factors, such as the density of critical features and the relative speeds of the two modes, the speed of the writing process is increased by reducing the number of passes for writing non-critical features. -Another example of a context based approach recognizes that single pass writing provides adequate accuracy in many places (e.g., in the middle of stripes), but poor accuracy in others (e.g., when a feature is split across a stripe boundary). Current techniques are unable to analyze context information to determine which features are critical and which aspects of the features are critical. Therefore, current techniques typically use a high accuracy process for a feature that is difficult to write accurately, such as a feature crossing a stripe boundary, for example. However, when an analysis of context information is used to identify which parts of the mask are critical, the critical parts of the chip may be written in a single pass mode by containing the critical parts of the features within the boundaries of a stripe. The dotted line shown in Figure 1 represents the boundary between the first and second stripes, such that each feature is contained within one of the stripes. The context based approach of containing each critical feature within a stripe avoids boundary errors that may affect yield or performance. Also, this approach allows masks written in a single pass writing process to have the same yield as masks currently written using multi-pass techniques. For example, mask writing errors may have several components (e.g., sub-field registration errors, stripe registration errors, and random eπors). For example, a large portion of a mask writing error budget may be allocated for stripe to stripe registration, which is used to maintain full accuracy for features that cross stripe boundaries. Therefore, the accuracy of a feature contained fully within a stripe, and written with one pass, is comparable to the accuracy a stripe- crossing feature written with multiple passes. Because critical polygons maybe small compared to the scan overlap of stripes 1 and 2, the writing process can create a scan boundary to prevent each polygon from crossing a scan boundary. For example, the dotted line of Figure 1 is drawn across the chip so that it does not hit any polygons and remains within the scan boundary, h this figure, polygons A, C and D are written as part of the lower stripe, since they fall below the line. Polygons B, E and F are written as part of the upper stripe. Polygon G, a large non-critical polygon, is bigger than the stripe overlap. Therefore it is broken into multiple parts, so that portions of the polygon are written in each strip. With this method, a distinction of individual critical regions within polygons may not be required to ensure the accuracy of the critical regions. As a further advantage, faster mask writing can reduce some types of errors, such as those due to long term drift and chemical instability. In another example of a context based approach of mask writing using context information, some features cross stripe boundaries. Therefore some parts of some features may be written with poor accuracy. With an understanding of which portions of which polygons are important, the context information can be used to distinguish features that are written with high tolerance from features written with a lower tolerance. The important features of a polygon may be placed within the boundaries of a stripe, so that they may be written with a high accuracy single pass process. The less important features may cross a stripe boundary. The less important features may also be written with a single pass process to increase the speed of the writing process. However, these written features may be less accurate than the important features if these less important features cross a boundary between stripes. For example, consider a polysilicon mask for an Integrated Circuit (IC) as shown in Figure 2. The polysilicon is used to perform several functions - e.g., to form connection to contacts, create interconnect, form gates, or extend past gates to prevent shorts. The area over the gate is written so that the gate and the smallest transistors are written with tight tolerances, as shown by elements A through E. (Furthermore, even among the smallest transistors, a subset of these transistors maybe identified as more important to the functioning of the circuit than the others, and this subset may be written with higher accuracy than the rest of the smallest transistors). Therefore although some poly features (such as IO drivers and/or scribe rings, for example) may extend across stripes, an analysis of context information can be used ensure that no logic gate area is written across a stripe boundary (or, at least no gate area that is critical to circuit operation) by using the context information to identify logic gate areas (or critical logic gate areas) and to place them within boundaries of one stripe. Figure 2 shows an example of parts of each polygon identified as critical based on an analysis of context information. The information may also describe why each feature is critical. For example, assume that the shaded elements A, B, C, D, and E each represent the portions of the mask that are to be written to a high accuracy. The high-accuracy writing process is used to ensure that the critical feature, e.g. the actual width, is as close as possible to the design width. However, the spacing between features may be relatively unimportant. In this case, each critical portion of each polygon may be written in one stripe, and each non-critical portion may be written in two stripes. Therefore, even if a polygon crosses a stripe boundary, the important portions of the polygon may be written entirely in one stripe, to ensure their accuracy. In the example of Figure 2, the areas A and B are written in stripe 1. The portions D and E are written in stripe 2. The portion C can be written in either stripe, as can the remaining parts of each polygon, which do not require as much accuracy. The large feature of Figure 2 (shown as the I/O driver, or scribe ring) may necessarily have places where it has the full stripe-to-stripe error. This may be acceptable since such large features do not (in general) have critical dimensions and can be written with lower tolerances. Additional context information can also be used in the writing process. For example, if the spacing between B and C is critical, or if devices B and C are to be matched as closely as possible, then C should be written in the bottom stripe. On the other hand, if the spacing between C and D is critical, or if C and D are to be as closely matched as possible, then C should be written as part of the top stripe. Thus, the attributes that are used for identifying critical areas may be selected from the design context. This shows an example of the concept that the particular attributes used to identify important areas may differ. For example, some areas are critical in terms of area, some in terms of width, some for their length, some for a mean expected error, and some for matching another area. In general, this process may be used by drawing a line across the whole chip, within the stripe overlap, which avoids the critical regions. Then, each critical region may be assigned to one stripe or another, as shown in Figure 3. In another embodiment of a faster writing process generated with context information, designers could help the mask making process by ensuring that no critical features crosses a straight horizontal line at locations suitable for the mask writing machine, as shown in Figure 4. Then the mask can be written at an even higher speed since no stripe overlap occurs. In a standard cell methodology, this could be provided by making sure no row lies atop certain horizontal lines (however, the lines may be defined by the capabilities of the mask making machine). The cells and/or rows within each stripe can be evenly or unevenly spaced in the vertical, or Y, dimension, have different lengths, and have different heights, for example. The process increases the writing speed because each cell and row avoids the pre-defined dotted lines as shown in Figure 4. Also, this process may be performed automatically, using a computer analysis method to locate critical features using context information, and to place the critical features within the boundaries of a stripe, so that no critical feature crosses a stripe boundary. Similarly, a user (in a block based floorplanner for example) may decide the locations of the blocks, as shown in Figure 5, so that the faster mask writing techniques can be used. The user may do so by placing entire polygons, or critical portions of polygons, within a stripe. An automated process may also be used to shift the design features based on an analysis of context information so that the features, or the important portions of the features, avoid crossing the stripe boundaries. In this example, a large cell (higher than a stripe size) should not be sensitive to the worse case overlap, because the cell overlaps the boundary. In an embodiment of this process, the importance of design elements can be associated with attributes of features, rather than with features themselves. For example, in some cases, the width is the most important, some matching is most important, some area is most important, or some edge placement. The assignment of features to stripes thus maybe driven by which attributes of each figure are considered important (rather than whether the figure itself is important), and possible improvement of mask writing speed. For example, a figure where the width is an important attribute, or the most important for example, may be written entirely in one stripe, as well as two figures that are supposed to match may both be written in the same stripe. If area is an important concern, then assignment to stripes may not matter and the assignment of features to stripes may be done to optimize mask writing speed. Figure 6 shows an example of a process of mask making and chip fabrication using context information. A design database is created that contains information for each layer of the IC design, 610. A context specific mask writing database for each layer is created, 620. The mask writing database includes information for each feature of the layer, and information about the context of the feature produced from the circuit design. A mask writing machine uses the context specific data to generate the mask, 630. The mask is then used in semiconductor fabrication, 640. Figure 7 shows an example of using information from the context specific mask writing database for writing one layer to a mask with one or more passes. The context specific mask writing database is generated, 710. Data from the context specific mask writing database is used to partition the layer into stripes based on the context information of the features for the layer, 720. Each feature may be divided into elementary shapes, 730. A compensation factor may be computed for neighboring shapes, 740. Each stripe is written onto the mask, 750. The process may return to 720 if multiple passes to increase accuracy are desired, 760. Figure 8 shows an example of using context specific data to partition the layer into stripes, and to assign the polygons of the layer to a corresponding stripe. Data for polygons to be written in neighboring stripes N and N+l is considered, 810. Each polygon in the neighboring stripes is assigned to one or both of the stripes by using the context specific data for the polygon. The stripe that can produce a more accurate polygon on the mask during writing is selected as the assigned stripe for the polygon. The polygon may be assigned to stripe N, 820. The polygon is placed in stripe N, 822. The polygon may be assigned to stripe N+l , 830. The polygon is placed in stripe N+l , 832. If the polygon may be placed in either stripe, 840, the polygon may be placed in stripe N, 822, or placed in stripe N+l, 832. If the polygon is too large to be contained in a single stripe, 850, the polygon is divided into multiple portions, 852, and portions are assigned both stripes, N and N+l . The divided polygon may be written with less resolution than a polygon that is contained within a stripe. The polygons of each stripe are written to the mask, 860. The next stripe may then be considered by returning to 810 and incrementing N by 1. The process may continue until each stripe has been considered and written to the mask. Figure 9 shows an example of using context specific information to provide a user with a desired speed for writing the mask. A user devises a preliminary mask writing plan, 910. When devising the plan, the user can assign certain features to certain stripes based on context information of the features. A processor then calculates a writing time for the given plan, 920. The user then accepts or rejects the plan based on the calculated writing time, 930. If the plan is accepted, the mask is fabricated, 940. If the plan is rejected, the process returns to 910 to allow the user to change the stripe assignments of the features, which produces a new writing time. The process may be repeated until the user accepts a plan. Figure 10 shows an example of an automatic procedure for using context information to determine a writing plan to write a mask for a user within an acceptable amount of time. The user enters a high level description of the circuit design, such as an RTL description for example, 1010. The user may also enter a preliminary plan for writing the mask. A computer processor then moves or places cells of the design to produce a plan for a fast writing process, 1020. The user determines whether the writing time of the plan is acceptable, 1030. If the plan is acceptable, the mask is fabricated, 1040. If the writing process for the proposed plan is greater than a limit acceptable to the user, the process to determine the writing plan returns to 1010. Figures 11 A through 11G show examples of information provided by considering design features with respect to the context of the design. This context information, which may be used to identify critical features, is significantly more useful than labeling each design feature with a tag that provides no indication as to why or how the feature is critical. The element is a via, represented as a larger square on a first layer and a smaller square on a second layer. The context of the via can be used to identify which features of the via are critical. A fabrication rule may state that the via is completely enclosed by the1 first layer, even in the presence of process uncertainty. The smaller square on the second layer may be in different locations relative to the larger square on the first layer. For the embodiment of Figure 11 A, the placements of the sides are not critical. As shown in Figure 11B, one side is critical. In Figures 11C and 11D, two sides are critical. Figure HE shows an example having three sides that are critical. In Figure 11F, placement of all four sides is critical. In Figure 11G, portions of some edges are critical and others are not. The vias themselves, and some of the constraints (such as minimum area for example), are identical in Figures HA through 11F However, whether the edge placement is critical (and hence worth the cost of providing increased accuracy during the mask writing, or is rejected as a defect during the mask inspection), may not be determined from the fact that the figure represents a via, or by marking the via as critical. Rather, the context information may be used to determine the critical and non- critical features of the via. In this case, the context information could be used to individually mark each edge as critical or non-critical. The context based approach to writing provides a system for writing masks faster than conventional multi-pass solutions, which provide same accuracy to each polygon written to the masks, even though some polygons can be written with a lower accuracy than others. The context based approach allows writing at higher speeds without sacrificing accuracy in the places where it is desired. In contrast to traditional approaches, which do not allow selected features (e.g., those selected as non-critical) to be written with faster but less accurate methods, and also do not take into account why a feature is critical, the mask writing process that uses context information provides optimizations for both writing speed and writing accuracy simultaneously. For example, one unified procedure may be used for determining the writing speed, where the writing order within that procedure is arranged to meet the user's desired writing accuracy, unlike traditional methods which require different writing procedures for different elements, such as critical and non-critical elements for example. This context based approach allows the mask writing to take into account relationships between shapes as well as the characteristics of the shapes themselves using design context, such as the geometrical neighborhood of shapes and the electrical requirements of the resulting chip. This approach allows the user to make tradeoffs between mask fabrication decisions and other concerns such as electrical or physical tolerances, time to market, mask cost, and yield. Previous approaches only did very crude analysis, did not help the user in making tradeoffs, and only allowed these decisions at very high levels of granularity (for example, either the whole chip is written at high precision, or it is not). Also, the user, when creating a floorplan or a chip layout, may assess if any features have been created that are slow to write, in contrast to previous approaches that do not allow this analysis at either the floor plan or layout level. The user may also constrain or modify the design based on the context information so that the masks can be written more quickly. Previous approaches did not allow the user any visibility into the mask writing speed, nor any control over it. The context specific mask writing process permits design intent information to be used to decide how accurately each mask feature is reproduced when mask writing occurs. The design intent may also be used in the context specific writing process to improve the speed of writing mask features, as opposed to changing the number of features that need to be written. A context based writing database may be created that stores, along with each feature to be written, the desired accuracy of that feature, and the importance of each geometrical attribute of the feature. A tool that can assess the tradeoffs between physical, circuit, or component attributes and mask fabrication decisions is provided with context specific mask writing. A floorplanner than can assess a floorplan in terms of how long it will take to write for a desired level of accuracy can be created with the context based writing process. Alternatively, the floorplanner can analyze a layout, and report how accurately each feature can be written for a desired writing speed. The floorplanner may show graphical lines, which if not crossed by critical features, can allow for faster mask writing. Alternatively, the same lines can be used, and the user may be informed of the accuracy that can be achieved for each feature. For another example, the floorplanner may automatically place at least a subset of the cells such that they are in the correct position for faster mask writing. The context based writing method can also be used with a mask writing system where the mask is written in separate portions, and the error between the portions is larger then the error within the portion, and an average feature of the mask is smaller than the overlap between portions. These portions may be stripes, squares, non- uniformly sized stripes, or other subsections of the mask, for example. These and other embodiments of the present invention maybe realized in accordance with the above teachings and it should be evident that various modifications and changes may be made to the above described embodiments without departing from the broader spirit and scope of the invention. The specification and drawings are, accordingly, to be regarded in an illustrative rather than restrictive sense and the invention measured only in terms of the claims.

Claims

1. A method comprising generating an integrated circuit design; creating a design database containing design data for each layer of the design; creating context information for features of a layer of the design; analyzing the context information to identify important attributes of features of the layer; partitioning the layer into a plurality of stripes; assigning each feature to one or more of the plurality of stripes based upon the importance of the attributes of the feature; and devising a writing plan to write each feature within the coπesponding stripe.
2. The method of claim 1, wherein analyzing the context information to identify important features comprises identifying important attributes of the design; and identifying polygons with the important attributes.
3. The method of claim 2, further comprising dividing each important polygon into a plurality of shapes; and for each polygon, identifying shapes with important attributes.
4. The method of claim 3, wherein assigning each feature to one stripe comprises assigning each shape to one or more stripes, consistent with the attributes of each shape.
5. The method of claim 1, wherein analyzing the context information to identify important features comprises displaying the design data for the layer to a user; displaying the context information for the features of the layer to the user; receiving an identification of the important attributes of features from the user.
6. The method of claim 5, wherein assigning each important feature to one of the stripes comprises receiving an assignment of the feature to one stripe from the user.
7. The method of claim 6, further comprising calculating a writing time for the writing plan.
8. The method of claim 7, further comprising accepting or rejecting the writing plan based on the writing time.
9. The method of claim 1, wherein analyzing the context information to identify important features comprises automatically locating important features of the layer based on the context information.
10. The method of claim 9, wherein partitioning the layer into a plurality of stripes comprises automatically generating partitions so that each important feature is within one of the stripes.
11. The method of claim 10, further comprising calculating a writing time for the writing plan.
12. The method of claim 11 , further comprising accepting or rejecting the writing plan based on the writing time.
13. A system comprising means for generating an integrated circuit design; means for creating a design database containing design data for each layer of the design; means for creating context information for features of a layer of the design; means for analyzing the context information to identify the important attributes of features of the layer; means for partitioning the layer into a plurality of stripes; means for assigning each feature to one or more of the plurality of stripes, based upon its attributes; and means for devising a writing plan to write each important feature within the corresponding stripe.
14. The system of claim 13, wherein said means for analyzing the context information to identify important features comprises means for identifying important attributes of the design; and means for identifying polygons with the important attributes.
15. The system of claim 14, further comprising means for dividing each important polygon into a plurality of shapes; and means for identifying shapes with the important attributes for each polygon.
16. The system of claim 15 , wherein said means for assigning each important feature to one stripe comprises means for assigning each shape with the important attributes to one stripe.
17. The system of claim 13, wherein said means for analyzing the context information to identify important features comprises means for displaying the design data for the layer to a user; means for displaying the context information for the features of the layer to the user; means for receiving an identification of the important features from the user.
18. The system of claim 17, wherein said means for assigning each important feature to one of the stripes comprises means for receiving an assignment of the feature to one stripe from the user.
19. The system of claim 18, further comprising means for calculating a writing time for the writing plan.
20. The method of claim 19, further comprising means for accepting or rejecting the writing plan based on the writing time.
21. The system of claim 13, wherein said means for analyzing the context information to identify important features comprises means for automatically locating important features of the layer based on the context information.
22. The system of claim 21 , wherein said means for partitioning the layer into a plurality of stripes comprises means for automatically generating partitions so that each important feature is within one of the stripes.
23. The system of claim 21 , further comprising means for calculating a writing time for the writing plan.
24. The system of claim 23, further comprising means for accepting or rejecting the writing plan based on the writing time.
25. A method comprising: generating integrated circuit design data having a plurality of polygons; determining context information from the integrated circuit design data; and analyzing features of the design data with the context information to distinguish important attributes of features from unimportant attributes and features.
26. The method of claim 25 further comprising: adjusting a mask writing process to write each feature with an accuracy commensurate with the attributes of that feature.
27. The method of claim 25 wherein context information for the design features comprises: information for neighboring geometries, electrical intent of the features, timing of the intended circuit, redundant features, and relationships of a given feature to neighboring features.
28. The method of claim 25 further comprising: identifying an importance of a given feature, or of a given attribute of a given feature, relative to other features of the design based on context information.
29. The method of claim 28, wherein the relative importance is identified with context information comprising: a reason for locating the feature in a particular place within the design, an intended use of the feature within the design, and electrical requirements of the feature within the design.
30. A system comprising: means for generating integrated circuit design data having a plurality of polygons; means for determining context information from the integrated circuit design data; and means for analyzing features of the design data with the context information to distinguish important attributes of features from unimportant attributes.
31. The system of claim 30 further comprising: means for adjusting a mask writing process to write the each features with an accuracy commensurate with the attributes of that feature .
32. The system of claim 30 wherein context information for the design features comprises: information for neighboring geometries, electrical intent of the features, timing of the intended circuit, redundant features, and relationships of a given feature to neighboring features.
33. The system of claim 30 further comprising: means for identifying an importance of a given feature relative to other features of the design based on context information.
34. The system of claim 33, wherein the relative importance is identified with context information comprising : a reason for locating the feature in a particular place within the design, an intended use of the feature within the design, and electrical requirements of the feature within the design.
PCT/US2003/021997 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits WO2005017785A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005507805A JP2007521500A (en) 2003-07-14 2003-07-14 Method for creating a pattern for manufacturing an integrated circuit
EP03818146A EP1644855A4 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits
AU2003249230A AU2003249230A1 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits
PCT/US2003/021997 WO2005017785A1 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/021997 WO2005017785A1 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits

Publications (1)

Publication Number Publication Date
WO2005017785A1 true WO2005017785A1 (en) 2005-02-24

Family

ID=34192484

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/021997 WO2005017785A1 (en) 2003-07-14 2003-07-14 Method for creating patterns for producing integrated circuits

Country Status (4)

Country Link
EP (1) EP1644855A4 (en)
JP (1) JP2007521500A (en)
AU (1) AU2003249230A1 (en)
WO (1) WO2005017785A1 (en)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6353774B1 (en) * 2000-09-22 2002-03-05 Virtek Engineering Sciences Inc. High precision vision guided positioning device
US20020102476A1 (en) * 2000-12-26 2002-08-01 Katsuya Hayano Method of manufacturing photomask and method of manufacturing semiconductor integrated circuit device
US6456899B1 (en) * 1999-12-07 2002-09-24 Ut-Battelle, Llc Context-based automated defect classification system using multiple morphological masks
US20020155357A1 (en) * 2001-04-18 2002-10-24 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US20020157068A1 (en) * 2001-02-09 2002-10-24 Mentor Graphics, An Oregon Corporation Data management method for reticle/mask writing
US20020160281A1 (en) * 2000-06-16 2002-10-31 Ramkumar Subramanian Modification of mask layout data to improve mask fidelity
US20030018948A1 (en) * 1997-09-17 2003-01-23 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US20030023939A1 (en) * 2001-07-26 2003-01-30 Numerical Technologies Method and apparatus for analyzing a layout using an instance-based representation
US6526550B1 (en) * 2000-09-29 2003-02-25 General Electric Company Analyzing characteristics of geometries
US20030200523A1 (en) * 2002-04-19 2003-10-23 Fujitsu Limited Apparatus, method, and program for designing a mask and method for fabricating semiconductor devices

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030018948A1 (en) * 1997-09-17 2003-01-23 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6456899B1 (en) * 1999-12-07 2002-09-24 Ut-Battelle, Llc Context-based automated defect classification system using multiple morphological masks
US20020160281A1 (en) * 2000-06-16 2002-10-31 Ramkumar Subramanian Modification of mask layout data to improve mask fidelity
US6353774B1 (en) * 2000-09-22 2002-03-05 Virtek Engineering Sciences Inc. High precision vision guided positioning device
US6526550B1 (en) * 2000-09-29 2003-02-25 General Electric Company Analyzing characteristics of geometries
US20020102476A1 (en) * 2000-12-26 2002-08-01 Katsuya Hayano Method of manufacturing photomask and method of manufacturing semiconductor integrated circuit device
US20020157068A1 (en) * 2001-02-09 2002-10-24 Mentor Graphics, An Oregon Corporation Data management method for reticle/mask writing
US20020155357A1 (en) * 2001-04-18 2002-10-24 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US20030023939A1 (en) * 2001-07-26 2003-01-30 Numerical Technologies Method and apparatus for analyzing a layout using an instance-based representation
US6560766B2 (en) * 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US20030200523A1 (en) * 2002-04-19 2003-10-23 Fujitsu Limited Apparatus, method, and program for designing a mask and method for fabricating semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1644855A4 *

Also Published As

Publication number Publication date
EP1644855A1 (en) 2006-04-12
EP1644855A4 (en) 2007-08-01
AU2003249230A1 (en) 2005-03-07
JP2007521500A (en) 2007-08-02

Similar Documents

Publication Publication Date Title
US6370673B1 (en) Method and system for high speed detailed placement of cells within an integrated circuit design
US7024638B2 (en) Method for creating patterns for producing integrated circuits
US7451429B2 (en) Computer automated method for optimizing an integrated circuit pattern in a layout verification process
US9165106B2 (en) Layout design for electron-beam high volume manufacturing
US8239803B2 (en) Layout method and layout apparatus for semiconductor integrated circuit
US7962878B2 (en) Method of making an integrated circuit using pre-defined interconnect wiring
US4343877A (en) System for design and production of integrated circuit photomasks and integrated circuit devices
US7917871B2 (en) Method and program for pattern data generation using a modification guide
US20080066041A1 (en) Auxiliary pattern generation for cell-based optical proximity correction
US10339249B2 (en) Using color pattern assigned to shapes for custom layout of integrated circuit (IC) designs
US20090235209A1 (en) Manufacturability
US20080111158A1 (en) Apparatuses and methods for efficient power rail structures for cell libraries
JP2015039007A (en) Method and device for design and use of micro target in overlay measurement
EP1604291A2 (en) Design for manufacturability
US20180285508A1 (en) Capacity model for global routing
US7698679B2 (en) Method and apparatus for automatic routing yield optimization
CN110875248A (en) Method for manufacturing semiconductor device
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
US7571416B2 (en) Automatic design device, method, and program for semiconductor integrated circuits
WO2005017785A1 (en) Method for creating patterns for producing integrated circuits
US9015645B1 (en) Hierarchical electromigration analysis using intelligent connectivity
JP2004104039A (en) Automatic layout and wiring design method for integrated circuit, automatic layout and wiring design apparatus therefor, automatic layout and wiring design system therefor, control program and readable recording medium
Abercrombie et al. Restrictive design rules and their impact on 22 nm design and physical verification
JP4316442B2 (en) Evaluation system, exposure drawing system, and evaluation method
JPH0645443A (en) Hierarchical wiring method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005507805

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003818146

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003818146

Country of ref document: EP