WO2005036596A3 - Method and apparatus of etch process control in fabrications of microstructures - Google Patents

Method and apparatus of etch process control in fabrications of microstructures Download PDF

Info

Publication number
WO2005036596A3
WO2005036596A3 PCT/US2004/027760 US2004027760W WO2005036596A3 WO 2005036596 A3 WO2005036596 A3 WO 2005036596A3 US 2004027760 W US2004027760 W US 2004027760W WO 2005036596 A3 WO2005036596 A3 WO 2005036596A3
Authority
WO
WIPO (PCT)
Prior art keywords
amount
etching
fabrications
microstructures
etch chamber
Prior art date
Application number
PCT/US2004/027760
Other languages
French (fr)
Other versions
WO2005036596A2 (en
Inventor
Hongqin Shi
Gregory P Schaadt
Original Assignee
Reflectivity Inc
Hongqin Shi
Gregory P Schaadt
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Reflectivity Inc, Hongqin Shi, Gregory P Schaadt filed Critical Reflectivity Inc
Publication of WO2005036596A2 publication Critical patent/WO2005036596A2/en
Publication of WO2005036596A3 publication Critical patent/WO2005036596A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0109Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108

Abstract

The present invention provides a method for removing sacrificial materials in fabrications of microstructures using a selected spontaneous vapor phase chemical etchants. During the etching process, an amount of the etchant is fed into an etch chamber for removing the sacrificial material. Additional amount of the etchant are fed into the etch chamber according to a detection of an amount or an amount of an etching product so as to maintaining a substantially constant etching rate of the sacrificial materials inside the etch chamber. Accordingly, an etching system is provided for removing the sacrificial materials based on the disclosed etching method.
PCT/US2004/027760 2003-09-17 2004-08-25 Method and apparatus of etch process control in fabrications of microstructures WO2005036596A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/666,671 US7645704B2 (en) 2003-09-17 2003-09-17 Methods and apparatus of etch process control in fabrications of microstructures
US10/666,671 2003-09-17

Publications (2)

Publication Number Publication Date
WO2005036596A2 WO2005036596A2 (en) 2005-04-21
WO2005036596A3 true WO2005036596A3 (en) 2005-12-15

Family

ID=34274727

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/027760 WO2005036596A2 (en) 2003-09-17 2004-08-25 Method and apparatus of etch process control in fabrications of microstructures

Country Status (3)

Country Link
US (1) US7645704B2 (en)
TW (1) TW200512830A (en)
WO (1) WO2005036596A2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US8021513B2 (en) * 2006-08-23 2011-09-20 Tokyo Electron Limited Substrate carrying apparatus and substrate carrying method
JP5898549B2 (en) 2012-03-29 2016-04-06 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6231893B2 (en) * 2014-01-27 2017-11-15 キヤノン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US10551165B2 (en) * 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000046838A2 (en) * 1999-02-05 2000-08-10 Massachusetts Institute Of Technology Hf vapor phase wafer cleaning and oxide etching
US6126585A (en) * 1995-06-02 2000-10-03 Sweetheart Cup Company, Inc. Apparatus and method to lubricate and curl paperboard container rims
US6159851A (en) * 1997-09-05 2000-12-12 Advanced Micro Devices, Inc. Borderless vias with CVD barrier layer
US6299724B1 (en) * 1997-03-26 2001-10-09 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
US6436229B2 (en) * 1997-01-22 2002-08-20 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
US6518194B2 (en) * 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US20030080082A1 (en) * 2001-10-29 2003-05-01 Chinn Jeffrey D. Dry etch release of MEMS structures

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1000000A (en) * 1910-04-25 1911-08-08 Francis H Holton Vehicle-tire.
US3511727A (en) * 1967-05-08 1970-05-12 Motorola Inc Vapor phase etching and polishing of semiconductors
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPS5798679A (en) 1980-12-11 1982-06-18 Toshiba Corp Dry etching device
JPS58130529A (en) 1982-01-29 1983-08-04 Hitachi Ltd Semiconductor etching method
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS6057938A (en) 1983-09-09 1985-04-03 Nec Corp Formation of microfine pattern
US4551197A (en) * 1984-07-26 1985-11-05 Guilmette Joseph G Method and apparatus for the recovery and recycling of condensable gas reactants
JPS6153732A (en) 1984-08-23 1986-03-17 Daikin Ind Ltd Etching method of silicon oxide film by irradiation of light
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
JPS61134019A (en) 1984-12-05 1986-06-21 Nec Corp Formation of pattern
JPS61181131A (en) 1985-02-07 1986-08-13 Nec Corp Etching method by molecular flow
JPH0626208B2 (en) 1985-02-14 1994-04-06 株式会社東芝 Dry etching method
JPS61270830A (en) 1985-05-24 1986-12-01 Nec Corp Surface cleaning method
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JPS6271217A (en) 1985-09-24 1987-04-01 Nec Corp Manufacture of semiconductor thin film
JPS63155713A (en) 1986-12-19 1988-06-28 Hitachi Ltd Manufacture of semiconductor fine structure
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
US4740410A (en) * 1987-05-28 1988-04-26 The Regents Of The University Of California Micromechanical elements and methods for their fabrication
JPH0628253B2 (en) 1988-02-17 1994-04-13 工業技術院長 Etching method
JP2753707B2 (en) 1988-02-26 1998-05-20 日本電信電話株式会社 Etching method
JPH02250323A (en) 1989-03-24 1990-10-08 Hitachi Ltd Method and apparatus for etching
JPH0312921A (en) 1989-06-12 1991-01-21 Toshiba Corp Method and apparatus for etching
GB9006471D0 (en) * 1990-03-22 1990-05-23 Surface Tech Sys Ltd Loading mechanisms
JPH0496222A (en) 1990-08-03 1992-03-27 Fujitsu Ltd Manufacture of semiconductor device
US5206471A (en) * 1991-12-26 1993-04-27 Applied Science And Technology, Inc. Microwave activated gas generator
JPH0729823A (en) 1993-06-25 1995-01-31 Nec Corp Fabrication of semiconductor device
US5439553A (en) * 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH0864559A (en) * 1994-06-14 1996-03-08 Fsi Internatl Inc Method of deleting unnecessary substance from substrate surface
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5726480A (en) * 1995-01-27 1998-03-10 The Regents Of The University Of California Etchants for use in micromachining of CMOS Microaccelerometers and microelectromechanical devices and method of making the same
US5757456A (en) * 1995-03-10 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating involving peeling circuits from one substrate and mounting on other
US5835256A (en) * 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US6969635B2 (en) * 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US5858065A (en) * 1995-07-17 1999-01-12 American Air Liquide Process and system for separation and recovery of perfluorocompound gases
FR2742355B1 (en) * 1995-12-14 1998-02-06 Aimco Sa PROCESS FOR HOMOGENOUSLY DISPERSING AT LEAST ONE REAGENT IN A FLUID MATRIX AND PRODUCTS OBTAINED
US5672242A (en) * 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5753073A (en) * 1996-01-31 1998-05-19 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
JPH09251981A (en) 1996-03-14 1997-09-22 Toshiba Corp Semiconductor manufacturing equipment
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
GB9616221D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd A method of etch depth control in sintered workpieces
EP1324371B1 (en) 1996-09-27 2006-06-07 Surface Technology Systems Plc Plasma processing apparatus
GB9620151D0 (en) 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
DE19704454C2 (en) * 1997-02-06 2000-03-02 Bosch Gmbh Robert Process for the production of surface micromechanical structures by means of etching in the vapor phase
US6022456A (en) * 1997-02-20 2000-02-08 Valdosta State University Apparatus and method for generating ozone
JP3493951B2 (en) 1997-05-13 2004-02-03 松下電器産業株式会社 Silicon substrate anisotropic etching method and solar cell manufacturing method
GB9709659D0 (en) * 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
KR19990004787A (en) * 1997-06-30 1999-01-25 배순훈 Thin Film Type Light Path Regulator
GB9714142D0 (en) 1997-07-05 1997-09-10 Surface Tech Sys Ltd An arrangement for the feeding of RF power to one or more antennae
GB9714341D0 (en) 1997-07-09 1997-09-10 Surface Tech Sys Ltd Plasma processing apparatus
US6328801B1 (en) * 1997-07-25 2001-12-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and system for recovering and recirculating a deuterium-containing gas
WO1999023832A1 (en) 1997-10-31 1999-05-14 Daewoo Electronics Co., Ltd. Method for manufacturing thin film actuated mirror array in an optical projection system
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
JP3643474B2 (en) * 1998-01-30 2005-04-27 株式会社東芝 Semiconductor processing system and method of using semiconductor processing system
WO1999049506A1 (en) 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
GB9904925D0 (en) 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
JP2000009037A (en) * 1998-06-18 2000-01-11 Fujitsu Ltd Exhaust device and exhaust method
US6238581B1 (en) * 1998-12-18 2001-05-29 Eastman Kodak Company Process for manufacturing an electro-mechanical grating device
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
JP3325000B2 (en) * 1999-05-28 2002-09-17 ソニーケミカル株式会社 Semiconductor element mounting method
US6942811B2 (en) * 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US7041224B2 (en) * 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6741383B2 (en) * 2000-08-11 2004-05-25 Reflectivity, Inc. Deflectable micromirrors with stopping mechanisms
US6396619B1 (en) * 2000-01-28 2002-05-28 Reflectivity, Inc. Deflectable spatial light modulator having stopping mechanisms
US6290864B1 (en) * 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US6960305B2 (en) * 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US7071520B2 (en) * 2000-08-23 2006-07-04 Reflectivity, Inc MEMS with flexible portions made of novel materials
US6197610B1 (en) * 2000-01-14 2001-03-06 Ball Semiconductor, Inc. Method of making small gaps for small electrical/mechanical devices
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US7057246B2 (en) * 2000-08-23 2006-06-06 Reflectivity, Inc Transition metal dielectric alloy materials for MEMS
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6887337B2 (en) * 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6770214B2 (en) * 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US6576489B2 (en) * 2001-05-07 2003-06-10 Applied Materials, Inc. Methods of forming microstructure devices
US6887732B2 (en) * 2001-05-07 2005-05-03 Applied Materials, Inc. Microstructure devices, methods of forming a microstructure device and a method of forming a MEMS device
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US7052622B2 (en) * 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6936183B2 (en) * 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6642127B2 (en) * 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6893974B1 (en) * 2002-09-05 2005-05-17 Cypress Semiconductor Corp. System and method for fabricating openings in a semiconductor topography
US6900922B2 (en) * 2003-02-24 2005-05-31 Exajoule, Llc Multi-tilt micromirror systems with concealed hinge structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6126585A (en) * 1995-06-02 2000-10-03 Sweetheart Cup Company, Inc. Apparatus and method to lubricate and curl paperboard container rims
US6436229B2 (en) * 1997-01-22 2002-08-20 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
US6299724B1 (en) * 1997-03-26 2001-10-09 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
US6159851A (en) * 1997-09-05 2000-12-12 Advanced Micro Devices, Inc. Borderless vias with CVD barrier layer
WO2000046838A2 (en) * 1999-02-05 2000-08-10 Massachusetts Institute Of Technology Hf vapor phase wafer cleaning and oxide etching
US6518194B2 (en) * 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US20030080082A1 (en) * 2001-10-29 2003-05-01 Chinn Jeffrey D. Dry etch release of MEMS structures

Also Published As

Publication number Publication date
WO2005036596A2 (en) 2005-04-21
US20050059254A1 (en) 2005-03-17
TW200512830A (en) 2005-04-01
US7645704B2 (en) 2010-01-12

Similar Documents

Publication Publication Date Title
Hynes et al. Recent advances in silicon etching for MEMS using the ASE™ process
WO2004038788A3 (en) Method and apparatus for detecting endpoint during plasma etching of thin films
US6355181B1 (en) Method and apparatus for manufacturing a micromechanical device
WO1999009587A3 (en) Method of etching copper for semiconductor devices
WO2001061750A3 (en) Method of etching a shaped cavity
WO2004102642A3 (en) Envelope follower end point detection in time division multiplexed processes
TW200729339A (en) Selective etch of films with high dielectric constant with H2 addition
AU2001252928A1 (en) An enhanced resist strip in a dielectric etcher using downstream plasma
MY138064A (en) Method for the separation of acids from chemical reaction mixtures by means of ionic fluids
WO2007076280A3 (en) Side-specific treatment of textiles using plasmas
EP1090413A4 (en) Method of forming high aspect ratio apertures
WO2004042771A3 (en) Method of etching a silicon-containing dielectric material
TW200501254A (en) Method for removing silicon oxide film and processing apparatus
JP2000133638A5 (en)
WO2002091449A3 (en) Etching a substrate in a process zone
WO2000049649A3 (en) Method for preventing corrosion of a dielectric material
EP1096547A3 (en) Method and apparatus for plasma etching
WO2004109772A3 (en) Method and system for etching a high-k dielectric material
WO2007094853A3 (en) Method and system for selectively etching a dielectric material relative to silicon
EP1324374A3 (en) Etching System for an insulation-film
CN102712462A (en) Improved selectivity in a xenon difluoride etch process
WO2003030238A1 (en) Processing method
WO2004021409A3 (en) A method and system to enhance the removal of high-k-dielectric materials
WO2005036596A3 (en) Method and apparatus of etch process control in fabrications of microstructures
WO2003030239A1 (en) Silicon substrate etching method and etching apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase