WO2005048313A3 - Methods and systems for handling workpieces in a vacuum-based semiconductor handling system - Google Patents

Methods and systems for handling workpieces in a vacuum-based semiconductor handling system Download PDF

Info

Publication number
WO2005048313A3
WO2005048313A3 PCT/US2004/037672 US2004037672W WO2005048313A3 WO 2005048313 A3 WO2005048313 A3 WO 2005048313A3 US 2004037672 W US2004037672 W US 2004037672W WO 2005048313 A3 WO2005048313 A3 WO 2005048313A3
Authority
WO
WIPO (PCT)
Prior art keywords
handling
systems
methods
vacuum
based semiconductor
Prior art date
Application number
PCT/US2004/037672
Other languages
French (fr)
Other versions
WO2005048313A2 (en
Inventor
Der Meulen Peter Van
Original Assignee
Blueshift Technologies Inc
Der Meulen Peter Van
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Blueshift Technologies Inc, Der Meulen Peter Van filed Critical Blueshift Technologies Inc
Priority to EP04818697.7A priority Critical patent/EP1684951B1/en
Priority to JP2006539863A priority patent/JP5226215B2/en
Publication of WO2005048313A2 publication Critical patent/WO2005048313A2/en
Publication of WO2005048313A3 publication Critical patent/WO2005048313A3/en
Priority to IL175518A priority patent/IL175518A0/en
Priority to US11/382,491 priority patent/US8439623B2/en
Priority to US11/846,290 priority patent/US7959403B2/en
Priority to US13/158,883 priority patent/US8807905B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Abstract

Methods and systems are provided for handling materials in a vacuum-based semiconductor handling system, including methods and systems for handling materials from arm to arm in order to traverse a linear handling system.
PCT/US2004/037672 2003-11-10 2004-11-10 Methods and systems for handling workpieces in a vacuum-based semiconductor handling system WO2005048313A2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP04818697.7A EP1684951B1 (en) 2003-11-10 2004-11-10 System for handling workpieces in a vacuum-based semiconductor handling system
JP2006539863A JP5226215B2 (en) 2003-11-10 2004-11-10 Method and system for processing a product being processed in a semiconductor processing system under vacuum
IL175518A IL175518A0 (en) 2003-11-10 2006-05-09 Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US11/382,491 US8439623B2 (en) 2003-11-10 2006-05-10 Linear semiconductor processing facilities
US11/846,290 US7959403B2 (en) 2003-11-10 2007-08-28 Linear semiconductor processing facilities
US13/158,883 US8807905B2 (en) 2003-11-10 2011-06-13 Linear semiconductor processing facilities

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51882303P 2003-11-10 2003-11-10
US60/518,823 2003-11-10
US60764904P 2004-09-07 2004-09-07
US60/607,649 2004-09-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/985,834 Continuation-In-Part US7458763B2 (en) 2003-11-10 2004-11-10 Mid-entry load lock for semiconductor handling system

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/382,491 Continuation-In-Part US8439623B2 (en) 2003-11-10 2006-05-10 Linear semiconductor processing facilities
US11/846,290 Continuation-In-Part US7959403B2 (en) 2003-11-10 2007-08-28 Linear semiconductor processing facilities

Publications (2)

Publication Number Publication Date
WO2005048313A2 WO2005048313A2 (en) 2005-05-26
WO2005048313A3 true WO2005048313A3 (en) 2006-03-02

Family

ID=34594927

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/037672 WO2005048313A2 (en) 2003-11-10 2004-11-10 Methods and systems for handling workpieces in a vacuum-based semiconductor handling system

Country Status (7)

Country Link
US (12) US20050111956A1 (en)
EP (1) EP1684951B1 (en)
JP (2) JP5226215B2 (en)
KR (1) KR20070008533A (en)
IL (1) IL175518A0 (en)
SG (1) SG132670A1 (en)
WO (1) WO2005048313A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959403B2 (en) 2003-11-10 2011-06-14 Van Der Meulen Peter Linear semiconductor processing facilities
US7988399B2 (en) 2003-11-10 2011-08-02 Brooks Automation, Inc. Mid-entry load lock for semiconductor handling system

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1523761A1 (en) 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6949938B2 (en) * 2002-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of robot damage via capacitive sensor assembly
WO2005022602A2 (en) * 2003-08-29 2005-03-10 Crossing Automation, Inc. A method and apparatus for semiconductor processing
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8000837B2 (en) * 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
JP4974118B2 (en) * 2005-02-12 2012-07-11 アプライド マテリアルズ インコーポレイテッド Multi-axis vacuum motor assembly
JP4860167B2 (en) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
JP2007005582A (en) * 2005-06-24 2007-01-11 Asm Japan Kk Substrate transfer apparatus and semiconductor substrate manufacturing apparatus mounted with the same
JP5014603B2 (en) * 2005-07-29 2012-08-29 株式会社アルバック Vacuum processing equipment
CN100358097C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Semiconductor technology processing system and method
WO2007061603A2 (en) * 2005-11-21 2007-05-31 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
CN100388457C (en) * 2005-12-08 2008-05-14 北京圆合电子技术有限责任公司 Vacuum mechanical-arm
KR101057530B1 (en) * 2005-12-20 2011-08-17 어플라이드 머티어리얼스, 인코포레이티드 Enlarged Body for Semiconductor Device Manufacturing Equipment
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
WO2007103887A2 (en) * 2006-03-05 2007-09-13 Blueshift Technologies, Inc. Semiconductor manufacturing process modules
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US7751919B2 (en) 2006-08-19 2010-07-06 Dynamic Micro Systems Method for operating equipment using buffer station having emergency access
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US7410542B2 (en) * 2006-10-10 2008-08-12 Paul Terrance Nolan Variable environment, scale-able, roll to roll system and method for manufacturing thin film electronics on flexible substrates
TW200900210A (en) * 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
JP2008137738A (en) * 2006-11-30 2008-06-19 Asyst Technologies Japan Inc Overhead traveling carrying device
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR100847888B1 (en) * 2006-12-12 2008-07-23 세메스 주식회사 Apparatus for fabricating semiconductor device
US20080206023A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080219810A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
JP2010524201A (en) * 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド Modular cluster tool
KR20160068991A (en) * 2007-05-08 2016-06-15 브룩스 오토메이션 인코퍼레이티드 Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US8267636B2 (en) 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
WO2008140728A2 (en) * 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US8178829B2 (en) * 2007-08-20 2012-05-15 Brooks Automation, Inc. Wafer prescence detector with end effectors having optical couplers and fibers
BRPI0816877A2 (en) 2007-09-24 2016-08-02 Arborgen Llc plant tissue preparation equipment and methods for plant production
US8099192B2 (en) * 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
JP4494524B2 (en) * 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 Inline wafer transfer device
CN101842890A (en) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 Inline-type wafer conveyance device
CN101855717B (en) * 2007-11-09 2011-10-19 佳能安内华股份有限公司 Inline-type wafer conveyance device
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
NL1036794A1 (en) * 2008-04-25 2009-10-27 Asml Netherlands Bv Robot for in-vacuum use.
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
JP5405235B2 (en) * 2008-09-05 2014-02-05 Ntn株式会社 Production equipment and production system
US8056256B2 (en) * 2008-09-17 2011-11-15 Slack Associates, Inc. Method for reconditioning FCR APG-68 tactical radar units
US8701307B2 (en) 2008-09-17 2014-04-22 Howard C. Slack Method for cleaning and reconditioning FCR APG-68 tactical radar units
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
TWI394224B (en) * 2009-02-24 2013-04-21 Intevac Inc Apparatus and methods for transporting and processing substrates
US8293532B2 (en) * 2009-03-26 2012-10-23 Dow AgroSciences, L.L.C. Method and apparatus for tissue transfer
JP2011009362A (en) * 2009-06-24 2011-01-13 Tokyo Electron Ltd Imprint system, imprinting method, program, and computer storage medium
JP5060517B2 (en) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 Imprint system
EP2452123A1 (en) * 2009-07-06 2012-05-16 Peat International, INC. Apparatus for treating waste
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4924680B2 (en) * 2009-09-09 2012-04-25 村田機械株式会社 Transfer equipment
JP5358366B2 (en) 2009-09-14 2013-12-04 東京エレクトロン株式会社 Substrate processing apparatus and method
TWI408766B (en) 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8459922B2 (en) 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (en) * 2009-12-07 2011-06-16 Yaskawa Electric Corp Horizontal multi-joint robot and transportation apparatus including the same
JP5282021B2 (en) 2009-12-14 2013-09-04 株式会社日立ハイテクノロジーズ Semiconductor processing system and semiconductor processing method
JP5586271B2 (en) * 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
JP5476162B2 (en) * 2010-03-02 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
JP5476171B2 (en) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing equipment
WO2011148782A1 (en) * 2010-05-27 2011-12-01 シャープ株式会社 Substrate processing apparatus and temporary storage shelf
JP2012028659A (en) * 2010-07-27 2012-02-09 Hitachi High-Technologies Corp Vacuum processing apparatus
JP5614326B2 (en) 2010-08-20 2014-10-29 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and recording medium on which program for executing the substrate transport method is recorded
KR101708420B1 (en) * 2010-09-15 2017-02-21 삼성디스플레이 주식회사 Depositing system for substrate and depositing method using the same
CN103237634B (en) * 2010-10-08 2016-12-14 布鲁克斯自动化公司 The vacuum robot of Driven by Coaxial
TWI586500B (en) * 2010-10-08 2017-06-11 布魯克斯自動機械公司 Robotic transport apparatus and substrate processing apparatus
JP5785712B2 (en) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
CN103988084B (en) * 2011-03-03 2017-03-08 生命科技公司 Sampling probe, system, apparatus and method
DE102011075036A1 (en) * 2011-04-29 2012-10-31 Hamilton Bonaduz Ag Punching device with gripping unit
JP5476337B2 (en) * 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
WO2013023092A2 (en) 2011-08-10 2013-02-14 Applied Materials, Inc Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
JP2013045817A (en) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp Vacuum processing apparatus and vacuum processing method
KR20230084597A (en) * 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 A Transport Apparatus and A Processing Apparatus Comprising the Same
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8968532B2 (en) * 2011-10-06 2015-03-03 Applied Materials, Inc. Electrochemical processor alignment system
KR102185752B1 (en) * 2011-10-26 2020-12-02 브룩스 오토메이션 인코퍼레이티드 Semiconductor Wafer Handling And Transport
JP5494617B2 (en) * 2011-10-26 2014-05-21 株式会社安川電機 Robot system and method of manufacturing processed product
CN102506712A (en) * 2011-11-04 2012-06-20 中国科学院微电子研究所 Laser detection device
JP5923288B2 (en) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and operating method of vacuum processing apparatus
US9014836B2 (en) * 2011-12-15 2015-04-21 The Boeing Company Autonomous carrier system for moving aircraft structures
JP5810929B2 (en) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 Wafer transfer device
CN104094394A (en) * 2012-02-08 2014-10-08 应用材料公司 Dynamic load lock with cellular structure for discrete substrates
KR20130096072A (en) * 2012-02-21 2013-08-29 삼성전자주식회사 Substrate transfer apparatus
US20150135880A1 (en) * 2012-03-15 2015-05-21 Moog Inc. Sealed robot base system
JP5738796B2 (en) 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ Processing room assignment setting device and processing room assignment setting program
US9117865B2 (en) * 2012-04-12 2015-08-25 Applied Materials, Inc. Robot systems, apparatus, and methods having independently rotatable waists
JP2014036025A (en) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp Vacuum processing apparatus or operation method of vacuum processing apparatus
US9199216B2 (en) * 2012-08-07 2015-12-01 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
KR102064391B1 (en) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 Substrate processing apparatus
US9022715B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Load lock chamber designs for high-throughput processing system
US10020187B2 (en) 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
JP2014116545A (en) * 2012-12-12 2014-06-26 Tokyo Electron Ltd Substrate processing apparatus
EP2939265B1 (en) * 2012-12-31 2018-10-31 Flir Systems, Inc. Wafer level packaging of microbolometer vacuum package assemblies
JP6235612B2 (en) * 2013-01-18 2017-11-22 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. Robot with arm having unequal link length
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US9064807B2 (en) * 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
JP2014179508A (en) * 2013-03-15 2014-09-25 Tokyo Electron Ltd Substrate processor and substrate processing method
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
JP6216530B2 (en) * 2013-03-29 2017-10-18 株式会社日立ハイテクノロジーズ Operation method of vacuum processing equipment
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
TWI695447B (en) 2013-11-13 2020-06-01 布魯克斯自動機械公司 Transport apparatus
JP2016537948A (en) 2013-11-13 2016-12-01 ブルックス オートメーション インコーポレイテッド Sealed switched reluctance motor
JP6679482B2 (en) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド Control method and apparatus for brushless electric machine
JP6708546B2 (en) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド Sealed robot drive
KR102424958B1 (en) 2014-01-21 2022-07-25 퍼시몬 테크놀로지스 코포레이션 Substrate transport vacuum platform
JP6211960B2 (en) * 2014-03-13 2017-10-11 東京エレクトロン株式会社 Control device, substrate processing apparatus, and substrate processing system
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US9704266B2 (en) 2014-12-11 2017-07-11 Rdi, Llc Non-contacting monitor for bridges and civil structures
US10062411B2 (en) * 2014-12-11 2018-08-28 Jeffrey R. Hay Apparatus and method for visualizing periodic motions in mechanical components
KR102567510B1 (en) 2015-03-12 2023-08-17 퍼시몬 테크놀로지스 코포레이션 Robots with subordinated end effector movements
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
TWI677046B (en) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 External substrate rotation in a semiconductor processing system
KR20230113410A (en) * 2015-07-13 2023-07-28 브룩스 오토메이션 인코퍼레이티드 A Substrate Transport Apparatus
KR20230145534A (en) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 On the fly automatic wafer centering method and apparatus
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6333870B2 (en) 2016-01-28 2018-05-30 ファナック株式会社 System with multiple machines and at least one sensor
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
JP6830772B2 (en) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ Laminated film manufacturing equipment and laminated film manufacturing method
WO2018075262A1 (en) * 2016-10-18 2018-04-26 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP7158133B2 (en) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US11420323B2 (en) * 2017-05-16 2022-08-23 Abb Schweiz Ag Method and control system for controlling movement sequences of a robot
US10903107B2 (en) * 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10786910B2 (en) 2017-08-21 2020-09-29 Massachusetts Institute Of Technology Extending robotic arm
US10456920B2 (en) 2017-08-24 2019-10-29 Samsung Electronics Co., Ltd. Proximity robot blade detection and auto retraction
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
MX2020005642A (en) * 2017-11-30 2020-08-20 Michael Munoz Internet of things (iot) enabled wireless sensor system enabling process control, predictive maintenance of electrical distribution networks, liquid and gas pipelines and monitoring of air pollutants including nuclear, chemical, and biological agents using attached and/or embedded passive electromagnetic sensors.
US20210236322A1 (en) * 2018-04-27 2021-08-05 The Board Of Trustees Of The University Of Illinois Patient Stabilization and Securing Device for Robotic and Laparoscopic Surgeries in Trendelenburg Position
US11423551B1 (en) 2018-10-17 2022-08-23 Rdi Technologies, Inc. Enhanced presentation methods for visualizing motion of physical structures and machinery
EP3921123A4 (en) 2019-02-08 2022-10-26 Yaskawa America, Inc. Through-beam auto teaching
JP7253955B2 (en) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
US20200365381A1 (en) 2019-05-14 2020-11-19 Mattson Technology, Inc. Systems And Methods For Transportation Of Replaceable Parts In a Vacuum Processing Apparatus
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR102211252B1 (en) * 2019-06-26 2021-02-04 세메스 주식회사 Apparatus for treating substrate
DE102019125134A1 (en) * 2019-09-18 2021-03-18 Mühlbauer Gmbh & Co. Kg Component handling, component inspection
US11373317B1 (en) 2020-01-24 2022-06-28 Rdi Technologies, Inc. Measuring the speed of rotation or reciprocation of a mechanical component using one or more cameras
US11413744B2 (en) 2020-03-03 2022-08-16 Applied Materials, Inc. Multi-turn drive assembly and systems and methods of use thereof
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11282213B1 (en) 2020-06-24 2022-03-22 Rdi Technologies, Inc. Enhanced analysis techniques using composite frequency spectrum data
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11322182B1 (en) 2020-09-28 2022-05-03 Rdi Technologies, Inc. Enhanced visualization techniques using reconstructed time waveforms
CN112736000A (en) * 2020-12-31 2021-04-30 上海广川科技有限公司 Vacuum conveying device and conveying method
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935770B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935771B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571325A (en) * 1992-12-21 1996-11-05 Dainippon Screen Mfg. Co., Ltd. Subtrate processing apparatus and device for and method of exchanging substrate in substrate processing apparatus
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool

Family Cites Families (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3584847A (en) 1968-05-31 1971-06-15 Western Electric Co Advancing workpieces through a sputtering chamber
US3968018A (en) * 1969-09-29 1976-07-06 Warner-Lambert Company Sputter coating method
US6076652A (en) * 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3796163A (en) 1972-07-12 1974-03-12 Kearney & Trecker Corp Manufacturing system
US3834555A (en) 1972-12-04 1974-09-10 Budd Co Article transfer apparatus
US4015558A (en) 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3874525A (en) * 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US3925182A (en) * 1973-09-25 1975-12-09 Shatterproof Glass Corp Method for continuous production of sputter-coated glass products
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
DE2812271C2 (en) 1978-03-21 1983-01-27 Leybold-Heraeus GmbH, 5000 Köln Device with several lock chambers for the batch coating of substrates
JPS54159964A (en) 1978-06-06 1979-12-18 Shiroyama Kogyo Kk Articulated arm type manipulator
US4216677A (en) * 1978-07-17 1980-08-12 Borg-Warner Corporation Hermetically sealed rotary drive apparatus
US4275978A (en) 1979-02-15 1981-06-30 Brooks Norman B Transport apparatus
FR2455695A1 (en) * 1979-05-02 1980-11-28 Carpano & Pons CONTROL DEVICE FOR ELECTRIC MOTOR-REDUCER
JPS5681533U (en) 1979-11-27 1981-07-01
FR2480846A1 (en) * 1980-04-18 1981-10-23 Carpano & Pons DRIVE DEVICE, FOR ROLL BLINDS, ROLLING SHUTTERS, OR THE LIKE
US4398720A (en) 1981-01-05 1983-08-16 California R & D Center Robot computer chess game
US4433951A (en) 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
FR2502597A1 (en) * 1981-03-24 1982-10-01 Carpano & Pons DEVICE FOR DRIVING A FLEXIBLE PROTECTIVE ELEMENT
US4392776A (en) * 1981-05-15 1983-07-12 Westinghouse Electric Corp. Robotic manipulator structure
JPS58177292A (en) 1982-04-05 1983-10-17 株式会社豊田中央研究所 Industrial robot arm and its manufacture
DE3465405D1 (en) 1983-02-14 1987-09-17 Aeronca Electronics Inc Articulated arm transfer device
US4909701A (en) 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
US4584045A (en) 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4702668A (en) * 1985-01-24 1987-10-27 Adept Technology, Inc. Direct drive robotic system
US4712971A (en) 1985-02-13 1987-12-15 The Charles Stark Draper Laboratory, Inc. Control arm assembly
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US4875825A (en) * 1985-07-24 1989-10-24 Hewlett-Packard Company Method for automated cassette handling
US4724322A (en) * 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4701096A (en) * 1986-03-05 1987-10-20 Btu Engineering Corporation Wafer handling station
GB8608817D0 (en) * 1986-04-11 1986-05-14 Advel Ltd Fastener installation apparatus
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63157870A (en) 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4817556A (en) 1987-05-04 1989-04-04 Varian Associates, Inc. Apparatus for retaining wafers
US4831270A (en) * 1987-05-21 1989-05-16 Ion Implant Services Ion implantation apparatus
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
JP2502661B2 (en) 1988-03-04 1996-05-29 松下電器産業株式会社 Vapor phase growth equipment
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
FR2644290A1 (en) * 1989-03-10 1990-09-14 Labo Electronique Physique MICROMANIPULATOR
US5013210A (en) 1989-05-08 1991-05-07 Bond Irvin D Workpiece transfer apparatus with folding arms
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5203443A (en) * 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
JPH0419081A (en) 1990-05-15 1992-01-23 Seiko Instr Inc In-vacuum conveyor robot
US5414334A (en) * 1990-12-28 1995-05-09 Somfy Control device for an asynchronous roller-blind motor
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5382806A (en) 1991-05-07 1995-01-17 Kensington Laboratories, Inc. Specimen carrier platform and scanning assembly
IT1251017B (en) * 1991-05-21 1995-04-28 Ugo Crippa MECHANISM TO CARRY OUT PREFIXED TRAJECTORIES SIMILAR TO ELLIPTICAL
JPH04132388U (en) * 1991-05-24 1992-12-08 千住金属工業株式会社 vacuum dryer
KR0155572B1 (en) 1991-05-28 1998-12-01 이노우에 아키라 Reduced pressure processing system
US5180275A (en) 1991-05-28 1993-01-19 The Braun Corporation Rotary bus lift with power stowable platform
JP3216154B2 (en) * 1991-07-26 2001-10-09 株式会社島津製作所 Vacuum deposition equipment
JP3030667B2 (en) 1991-07-29 2000-04-10 東京エレクトロン株式会社 Transfer device
US5467266A (en) * 1991-09-03 1995-11-14 Lutron Electronics Co., Inc. Motor-operated window cover
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5426865A (en) 1992-09-03 1995-06-27 Tokyo Electron Limited Vacuum creating method and apparatus
JPH06104327A (en) * 1992-09-22 1994-04-15 Sony Corp Substrate processing device
KR100302012B1 (en) 1992-11-06 2001-11-30 조셉 제이. 스위니 Micro-environment container connection method and micro-environment load lock
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JP3042576B2 (en) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 Substrate processing equipment
US5431529A (en) * 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
JP2761438B2 (en) * 1993-04-16 1998-06-04 ブルックス オートメーション インコーポレイテッド Transfer device
US5433020A (en) * 1993-04-29 1995-07-18 Altos Engineering, Inc. Apparatus and method for vacuum drying
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
US5475914A (en) * 1993-08-10 1995-12-19 Ohio Electronic Engravers, Inc. Engraving head with cartridge mounted components
US5539975A (en) 1993-09-08 1996-07-30 Allen-Bradley Company, Inc. Control system and equipment configuration for a modular product assembly platform
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
JPH0799224A (en) * 1993-09-28 1995-04-11 Hitachi Ltd Multiple-chamber type semiconductor manufacturing apparatus
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JPH07211762A (en) * 1994-01-13 1995-08-11 Hitachi Ltd Wafer transfer treater
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
DE69534124T2 (en) * 1994-04-18 2006-05-04 Micron Technology, Inc. Method and device for automatic positioning of electronic cubes in component packaging
WO1995035506A2 (en) 1994-06-17 1995-12-28 Kensington Laboratories, Inc. Scribe mark reader
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW301761B (en) * 1994-11-29 1997-04-01 Sharp Kk
JPH08196894A (en) * 1995-01-25 1996-08-06 Tokki Kk Constitution for reducing size and cost of vacuum device and form of transporting material
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
ES2229247T3 (en) * 1995-03-28 2005-04-16 Brooks Automation Gmbh CHARGING AND DISCHARGE STATION FOR SEMICONDUCTOR TREATMENT FACILITIES.
US5957651A (en) * 1995-06-08 1999-09-28 Kokusai Electric Co., Ltd. Substrate carrying apparatus
US5765982A (en) * 1995-07-10 1998-06-16 Amtech Systems, Inc. Automatic wafer boat loading system and method
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US6360144B1 (en) 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5668452A (en) 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
US5765983A (en) 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5814733A (en) * 1996-09-12 1998-09-29 Motorola, Inc. Method of characterizing dynamics of a workpiece handling system
JP3947761B2 (en) * 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5848634A (en) * 1996-12-27 1998-12-15 Latron Electronics Co. Inc. Motorized window shade system
US6126381A (en) 1997-04-01 2000-10-03 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable four link robot arm mechanism
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6439824B1 (en) 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
DE19882662T1 (en) 1997-08-28 2000-08-03 Cvc Products Inc Wafer transport device for multi-station tools
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6205870B1 (en) * 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6281651B1 (en) * 1997-11-03 2001-08-28 Immersion Corporation Haptic pointing devices
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6210084B1 (en) * 1997-11-26 2001-04-03 The Boeing Company Pressure foot assembly for clamping a joint
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP4178534B2 (en) 1997-12-24 2008-11-12 株式会社安川電機 Substrate transfer robot
US6146077A (en) * 1998-01-13 2000-11-14 Samsung Electronics Co., Ltd. Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device
JPH11207611A (en) * 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd Automatic work carrier device for double-side grinding device
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6125551A (en) * 1998-03-17 2000-10-03 Verteq, Inc. Gas seal and support for rotating semiconductor processor
DE19813684C2 (en) * 1998-03-27 2001-08-16 Brooks Automation Gmbh Device for receiving transport containers at a loading and unloading station
DE19816221A1 (en) * 1998-04-09 1999-10-21 Siemens Ag Treatment of objects, particularly wafers
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6073828A (en) * 1998-06-30 2000-06-13 Lam Research Corporation End effector for substrate handling and method for making the same
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6501070B1 (en) 1998-07-13 2002-12-31 Newport Corporation Pod load interface equipment adapted for implementation in a fims system
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6282459B1 (en) * 1998-09-01 2001-08-28 International Business Machines Corporation Structure and method for detection of physical interference during transport of an article
US6144118A (en) * 1998-09-18 2000-11-07 General Scanning, Inc. High-speed precision positioning apparatus
JP2965038B1 (en) * 1998-09-21 1999-10-18 日新電機株式会社 Vacuum processing equipment
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6453214B1 (en) * 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
JP2000177842A (en) * 1998-12-10 2000-06-27 Mitsubishi Heavy Ind Ltd Carrying device and vacuum processing device
JP3723003B2 (en) 1998-12-18 2005-12-07 三菱重工業株式会社 Vacuum processing system
JP2000195925A (en) * 1998-12-28 2000-07-14 Anelva Corp Substrate-treating device
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
JP2000286319A (en) * 1999-03-31 2000-10-13 Canon Inc Substrate transferring method and semiconductor manufacturing apparatus
US6118243A (en) * 1999-04-07 2000-09-12 Overhead Door Corporation Door operator system
US6736582B1 (en) 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
US6944584B1 (en) * 1999-04-16 2005-09-13 Brooks Automation, Inc. System and method for control and simulation
WO2000063953A1 (en) * 1999-04-16 2000-10-26 Tokyo Electron Limited Method of manufacturing semiconductor device and manufacturing line thereof
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6763281B2 (en) * 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6227793B1 (en) * 1999-05-25 2001-05-08 Norfield Industries Door transport system
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6242748B1 (en) * 1999-08-10 2001-06-05 Edax, Inc. Methods and apparatus for mounting an X-ray detecting unit to an electron microscope
KR100374478B1 (en) * 1999-09-20 2003-03-03 엘지전자 주식회사 Method for Preparing an Anatase Typed-Titanium Dioxide Photocatalyst and Photocatalyst Produced by the same
JP3339840B2 (en) 1999-09-28 2002-10-28 タツモ株式会社 Horizontal articulated industrial robot and control method thereof
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6309161B1 (en) 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
JP3639764B2 (en) 2000-02-01 2005-04-20 タツモ株式会社 Substrate transfer device
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6497267B1 (en) * 2000-04-07 2002-12-24 Lutron Electronics Co., Inc. Motorized window shade with ultraquiet motor drive and ESD protection
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
TW511220B (en) 2000-10-24 2002-11-21 Ulvac Corp Carrying device and vacuum processing apparatus using the same
JP2002158272A (en) 2000-11-17 2002-05-31 Tatsumo Kk Double-arm substrate transfer device
JP2002166376A (en) * 2000-11-30 2002-06-11 Hirata Corp Robot for substrate transfer
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
US6494666B2 (en) * 2001-01-26 2002-12-17 Fortrend Engineering Corporation Simplified and enhanced SCARA arm
US6499936B2 (en) * 2001-02-17 2002-12-31 Yokogawa Electric Corporation Transfer system
US6601888B2 (en) * 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2002332570A (en) 2001-05-08 2002-11-22 Anelva Corp Substrate treatment device
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6758113B2 (en) 2001-07-10 2004-07-06 Asm Assembly Automation Limited High speed pick and place apparatus
US6643563B2 (en) * 2001-07-13 2003-11-04 Brooks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
JP2003077974A (en) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
US6586336B2 (en) * 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
JP2003124284A (en) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc Substrate treatment equipment and method for manufacturing semiconductor device
JP2003142360A (en) 2001-11-02 2003-05-16 Daikin Ind Ltd Apparatus for manufacturing semiconductor device
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003183728A (en) * 2001-12-14 2003-07-03 Jh Corp Vacuum heat-treatment apparatus
TWI258831B (en) * 2001-12-31 2006-07-21 Applied Materials Inc Cassette and workpiece handler characterization tool
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6761085B1 (en) * 2002-02-06 2004-07-13 Novellus Systems Incorporated Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
JP4197103B2 (en) * 2002-04-15 2008-12-17 株式会社荏原製作所 Polishing equipment
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
KR100464853B1 (en) 2002-06-20 2005-01-06 삼성전자주식회사 Method and apparatus for drying wafer by instant decompressing and heating
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
WO2004010476A2 (en) 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7245989B2 (en) * 2002-12-20 2007-07-17 Brooks Automation, Inc. Three-degree-of-freedom parallel robot arm
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US6983783B2 (en) * 2003-06-10 2006-01-10 Lutron Electronics Co., Inc. Motorized shade control system
US6934606B1 (en) * 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
WO2005022602A2 (en) 2003-08-29 2005-03-10 Crossing Automation, Inc. A method and apparatus for semiconductor processing
US20050095087A1 (en) 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5456287B2 (en) * 2008-09-05 2014-03-26 東京エレクトロン株式会社 Vertical heat treatment equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571325A (en) * 1992-12-21 1996-11-05 Dainippon Screen Mfg. Co., Ltd. Subtrate processing apparatus and device for and method of exchanging substrate in substrate processing apparatus
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959403B2 (en) 2003-11-10 2011-06-14 Van Der Meulen Peter Linear semiconductor processing facilities
US7988399B2 (en) 2003-11-10 2011-08-02 Brooks Automation, Inc. Mid-entry load lock for semiconductor handling system
US8439623B2 (en) 2003-11-10 2013-05-14 Brooks Automation, Inc. Linear semiconductor processing facilities
US8807905B2 (en) 2003-11-10 2014-08-19 Brooks Automation, Inc. Linear semiconductor processing facilities

Also Published As

Publication number Publication date
JP2011101035A (en) 2011-05-19
JP5373760B2 (en) 2013-12-18
IL175518A0 (en) 2006-09-05
US20050111956A1 (en) 2005-05-26
US20050223837A1 (en) 2005-10-13
US20120014769A1 (en) 2012-01-19
US8944738B2 (en) 2015-02-03
KR20070008533A (en) 2007-01-17
US20120148374A1 (en) 2012-06-14
EP1684951B1 (en) 2014-05-07
WO2005048313A2 (en) 2005-05-26
US8807905B2 (en) 2014-08-19
US20150221534A1 (en) 2015-08-06
JP2007511104A (en) 2007-04-26
US8439623B2 (en) 2013-05-14
JP5226215B2 (en) 2013-07-03
US20050113976A1 (en) 2005-05-26
US7959403B2 (en) 2011-06-14
US7422406B2 (en) 2008-09-09
US7210246B2 (en) 2007-05-01
US8029225B2 (en) 2011-10-04
US20080085173A1 (en) 2008-04-10
EP1684951A4 (en) 2011-05-25
US20060263177A1 (en) 2006-11-23
EP1684951A2 (en) 2006-08-02
US20090067958A1 (en) 2009-03-12
US20050120578A1 (en) 2005-06-09
US20050118009A1 (en) 2005-06-02
SG132670A1 (en) 2007-06-28
US20050113964A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
WO2005048313A3 (en) Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
SE0301145L (en) Robot vacuum cleaner, robot vacuum system and method for controlling the same
TWI316279B (en) Systems and methods for transferring small lot size substrate carriers between processing tools
AU2612500A (en) Workpiece handling robot
AU2003231763A1 (en) Apparatus, systems and methods for levitating and moving objects
AU2002310331A1 (en) Tools with transfer devices for handling workpieces
AU2003260261A1 (en) Automated picking, weighing and sorting system for particulate matter
AU2003263742A1 (en) Currency processing and strapping systems and methods for using the same
AU2001239279A1 (en) Device and method for performing syntheses, analyses or transport processes
AU2002258342A1 (en) A system for handling containers
AU2001247499A1 (en) Cluster tool systems and methods for processing wafers
AU2003201897A1 (en) Fragile material substrate scriber, fragile material substrate processing machine, fragile material substrate polishing device, and fragile material substrate parting system
AU2003301515A1 (en) Systems, devices, and methods for aseptic processing
AU2003235197A1 (en) Work conveying system, work conveying pallet used for the system, and rail connection method in work conveying system
AU2002348485A1 (en) Vacuum holding device and method for handling fragile objects, and manufacturing method thereof
AU2002220666A1 (en) Method for depositing especially, crystalline layers and device for carrying out the method
AU2002327296A1 (en) Carrier for a trailer, system thereof using a stacking device, and method thereof
EP1529605A3 (en) Method and system for control of robots
ITTO20011223A0 (en) INTEGRATED CONVEYOR SYSTEM FOR HANDLING LOADS, PARTICULARLY VEHICLES ALONG A PRODUCTION LINE.
AU2002234762A1 (en) Unhandled operation handling in multiple instruction set systems
AU2003213139A1 (en) Automated loaders or winders and related systems, devices and methods
AU2003293739A1 (en) Ultrasonic levitation in a rapid thermal processing plant for wafers
WO2005051806A3 (en) Handling device for a container used in the tobacco industry
AU2002367809A1 (en) Systems, methods and devices for scanning parcels for hazardous materials
AU2003271187A1 (en) Work single wafer processing system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 175518

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 2006539863

Country of ref document: JP

Ref document number: 11382491

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2004818697

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020067011218

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1617/KOLNP/2006

Country of ref document: IN

WWE Wipo information: entry into national phase

Ref document number: 200480040124.7

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2004818697

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 11382491

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020067011218

Country of ref document: KR