WO2005050701A2 - Stressed semiconductor device structures having granular semiconductor material - Google Patents

Stressed semiconductor device structures having granular semiconductor material Download PDF

Info

Publication number
WO2005050701A2
WO2005050701A2 PCT/US2004/037434 US2004037434W WO2005050701A2 WO 2005050701 A2 WO2005050701 A2 WO 2005050701A2 US 2004037434 W US2004037434 W US 2004037434W WO 2005050701 A2 WO2005050701 A2 WO 2005050701A2
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor material
nfet
approximately
pfet
semiconductor device
Prior art date
Application number
PCT/US2004/037434
Other languages
French (fr)
Other versions
WO2005050701A8 (en
WO2005050701A3 (en
Inventor
Bruce B. Doris
Michael P. Belyansky
Diane C. Boyd
Dureseti Chidambarrao
Oleg Gluschenkov
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP2006539779A priority Critical patent/JP4843498B2/en
Priority to EP04810633A priority patent/EP1683187B1/en
Priority to AT04810633T priority patent/ATE512465T1/en
Publication of WO2005050701A2 publication Critical patent/WO2005050701A2/en
Publication of WO2005050701A8 publication Critical patent/WO2005050701A8/en
Publication of WO2005050701A3 publication Critical patent/WO2005050701A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/115Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
    • H01L31/119Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation characterised by field-effect operation, e.g. MIS type detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Control Of Vending Devices And Auxiliary Devices For Vending Devices (AREA)

Abstract

A method of fabricating a semiconductor device structure, includes: providing a substrate (1), providing an electrode (6) on the substrate (1), forming a recess (12) in the electrode (6), the recess having an opening, disposing a small grain semiconductor material (17) within the recess, covering the opening to contain the small grain semiconductor material, within the recess, and then annealing the resultant structure.

Description

STRESSED SEMICONDUCTOR DEVICE STRUCTURES HAVING GRANULAR SEMICONDUCTOR MATERIAL
The present invention relates to semiconductor device structures, such as a CMOS device structure including both nFET and pFET devices.
BACKGROUND
Mobility enhancements are important to future semiconductor, e.g. CMOS device technologies. Performance improvements from conventional process technologies are becoming extremely difficult to achieve. Methods to stress Si channels include: using SiGe which imparts stress from the bottom of the channel; different shallow trench isolation (STI) material choices which impart stresses from various sides, and SiN etch stop layers which also impart longitudinal stress from the sides. Drawbacks from the SiGe buffer layer or implanted-anneal-buffer approach with a strained Si cap layer are well known. Drawbacks include dislocations that impact yield severely, along with significant difficulty controlling As diffusion enhancements. Further, the process is quite complicated and costly. The STI approach is less costly but is not self-aligned to the gate and has RX size sensitivity. The less costly approach of using nitride etch stop layers to create stress does produce some benefit, but the benefit is believed to be relatively marginal. SUMMARY The present invention improves device performance using channel mobility enhancement The present invention improves mobility from the top of the channel by using the stress properties of properly modulated polysilicon gate stacks. Prior to the prevent invention these stress properties were very difficult to control. However, the present invention includes a method and structure which use small grain polysilicon to control stress properties. The present invention provides a method and a structure to impart compressive stress to the pFET channel and a tensile stress to the nFET channel. Other embodiments include imparting compressive stress to the pFET channel while preventing compressive stress from being imparted to the nFET channel. Another embodiment of this invention includes imparting tensile stress to the nFET channel while preventing the tensile stress from being imparted to the pFET channel
The method of the present invention disposes (e.g., deposits) small grain poly-Si films in gate electrode stacks, which films become highly tensile as a result of annealing. The tensile film stress can be suppressed by annealing with a low temperature deposited SiN film disposed on top of the poly-Si film.
It is a principal object of the present invention to enhance channel mobility in semiconductor devices.
According to the present invention, a method of fabricating a semiconductor device structure includes providing a substrate; providing an electrode on the substrate; forming a recess in the electrode, the recess having an opening; disposing a small grain semiconductor material within the recess; covering the opening to contain the small grain semiconductor material within the recess, and then annealing the resultant structure. The present invention also includes a semiconductor device structure.
Further and still other objects of the present invention will become more readily apparent in light of the following detailed description when taken in conjunction with the accompanying drawing figures.
DETAILED DESCRIPTION OF THE DRAWING
Figures 1-9 are side schematic views of process steps according to a preferred embodiment of the present invention.
Figure 10 is a diagram showing simulated stress contours in the gate and channel of the preferred inventive structure. DESCRIPTION OF THE PREFFERRED EMBODIMENTS
Turning now to the figures, and Fig.1 in particular, a semiconductor substrate 1 is provided. The semiconductor substrate is a bulk Si substrate, an SOI substrate, or a stressed (strained) Si substrate. Alternatively, the substrate is a hybrid substrate which includes more than one surface orientation. The substrate alternatively includes a semiconductor material other than Si , such as Ge or any combination of Group III-V elements or Group II-V elements.
After an initial substrate cleaning procedure (conventional), an isolation scheme is carried out As is well known in semiconductor manufacturing, the isolation scheme is used to separate selected devices electrically from each other. The isolation scheme may be a standard or a modified shallow trench isolation (STI) scheme. The STI 2 is shown in Fig. 1. Alternatively, the isolation is accomplished using a LOCOS process or mesa isolation scheme, as is well known in the art of fabricating semiconductor devices. For various known or conventional processes for fabricating semiconductor devices, see VLSI Technology.2nd Edition, by S.M. Sze, (McGraw Hill Publishing Co., 1988).
After isolation 2 is formed, a conventional gate oxide pre-cleaning process is performed. As is the case in high performance logic fabrication processes, various conventional gate oxide processes maybe used to fabricate devices having different gate oxide thicknesses. The gate oxide 3 is formed, for example, using a conventional thermal oxidation process. The oxide 3 is formed using N20, NO, O2 or any combination of them The oxide may be nitridized using a conventional plasma process. Alternatively, the gate oxide may be formed using a base oxide followed by deposition of a high k gate dielectric such as aluminum oxide, or hafnium oxide, or another high k gate dielectric. The gate dielectric material 3 has an approximately (+ 10%) uniform thickness in the range of about (+ 10%) 0.6nm to about 7nm, Next, a film 4 is deposited over the entire wafer structure 1, 2, 3. The film 4 is used as a disposable (removable) or semi-disposable gate electrode material. In a preferred embodiment, the film 4 includes a polysilicon (poly Si) material having an approximately uniform thickness or height (T) in the range of about 80nm to about 150nm. A deposition technique such as low pressure chemical vapor deposition (LPCVD) or rapid thermal chemical vapor deposition (RPCVD) is used to deposit the removable gate electrode material 4. The resulting structure is shown in Fig. 1. The poly Si layer 4 preferably has a standard grain size in a range of about one nm to about 40nm.
Next, a conventional lithography process is used to pattern photoresist images on the top of the removable gate electrode material 4. The photoresist images, not shown in the figures, are used to transfer desired features into the removable gate electrode material 4 by using a conventional dry etching process. The dry etching process includes or several chemistries capable of etching the removable gate electrode material 4 selectively with respect to the gate oxide material 3. The structure shown in Fig.2 shows the fully patterned removable gate electrode 5 for a nFET gate stack 3,5 and a removable gate electrode 6 for a pFET gate stack 3,6.
A conventional gate reoxidation process, not shown in the figures, is then used, as is commonly done in high performance logic manufacturing processes. The reox is formed by using a thermal oxidation process to achieve an approximately uniform thickness from about one nm to about 7nm Following the reox process, a block mask is patterned over the pFET regions, using a conventional photolithography process. The block (e.g., resist) mask (not shown in the figures) is used to block or prevent the pFET regions from being implanted, while the appropriate nFET regions are being implanted. The nFET extensions 7 and halos (not shown) are implanted using a low energy As and B implant, respectively. The resist mask is then removed using a dry or wet process. Another block mask (not shown) is patterned over the nFET regions. The pFET extensions 8 and halos (not shown) are implanted using a low energy BF2 or B implant and As implant, respectively. The extension implant profiles 7,8 for the nFET and hepFET are shown in Fig.2.
After the extension and halo implantation, a dielectric liner layer 9 is formed over the entire wafer structure (Fig. 3). The dielectric film to be used as the liner layer 9 is preferably SiN which is deposited by CVD or RTCVD or any other suitable deposition technique. A purpose of the liner layer 9 is to provide a CMP stop layer for the next process. Additionally, the SiN liner 9 will be etched, at a later point in the process flow, to form a source-drain spacer. The resulting structure is shown in Fig.3.
The next step in the process flow is to deposit an oxide film 10. The oxide film 10 is deposited and planarized using chemical mechanical polishing (CMP). The film 10 is deposited using, for example a high density plasma (HDP) process. The top of the liner 9 over the removable gate electrode 5 is removed by using a dry etching process that is capable of etching silicon nitride but does not etch appreciable amounts of oxide or poly Si. The planarized oxide film 10 and SiN liner 9 structure is shown in Fig.4, after the top portion of the liner layer 9 has been removed.
An important aspect of this invention is that, at this point in the inventive process flow, a gate recess process is used to remove the poly Si, either completely or partially, from the gate electrodes 5, 6. A preferred embodiment in which the poly-Si is partially removed is shown in Fig. 5. The poly Si is recessed using any suitable dry or wet etch process. A portion 12 and a portion 13 of the original poly Si is left remaining, and has an approximately uniform thickness in a range of about one nm to about 20nm. A recessed portion 12 of the nFET gate electrode and a recessed portion 13 of the pFET gate electrode are shown in Fig.5. In another embodiment (not shown), the poly Si is completely removed. If this embodiment is used, men a conventional gate oxide pre-clean process followed by a , conventinal gate oxidation process is next performed.
Another important aspect of this invention is that, following the gate recess process, a small grain poly Si is deposited over the entire wafer. Small grain polysilicon is known from Shimizu, S. et al. Proceedings of the 1997 Symposium on VLSI Technology. Kyoto, Japan 10-12 June 1997, and also from Silicon Processing for The VLSI Era. Vol 1- Process Technology, by S. Wolf, 1999. The grain structure preferably is in a range of about one nm to about 50nm. A more preferable grain size is a substantially uniform size in a range of about five nm to 30nm. The polySi is deposited by RTCVD or LPCVD. Next, the polySi is planarized and recessed from the top of the oxide layer 10 using, for example, CMP and a dry etch. Both CMP and dry eteh processes are capable of removing the poly Si selectively relative to the Si02 layer 10. After CMP and dry etch, the inventive gate electrode structure 14, 12 for the nFET and the inventive gate electrode structure 13, 18 for the pFET are shown in Fig. 6.
At this point in the inventive method, a hard mask 15 shown in Fig. 7 is patterned over the nFET region. The mask 15 is patterned using a dielectric film including SiN and a conventional photolithography procedure. The hard mask 15 is deposited using a low temperature deposition process such as plasma enhanced chemical vapor deposition with a temperature in the range of about 350°C to about 700°C. Preferably, the material which forms the hard mark 15 is deposited at a temperature below 550°C or lower, to prevent re-growth of the poly-Si grains. The whole structure is next subjected to an anneal using a temperature from about 500°C to about 600°C for about one hour. Alternatively, a rapid thermal anneal may be carried out at about 700°C to about 1000°C for about one second to about seven seconds. In another embodiment, the structure 12, 14 is annealed at a future point in the process. The small grain poly Si remains small, in the range preferably of about five nm to about 30nm for the nFET gate electrode portion 17 because the SiN cap layer 15 is present However, the grains in the pFET gate electrode portion 18 grow significantly to grain sizes of greater than about 30nm
The present inventors believe that the inventive process (e.g., with respect to Fig. 7) represents a notable departure from conventional process technology. If the small grain poly Si is subjected to the standard thermal budget, then the poly Si grain growth causes a severe increase in tensile stress. The tensile stress creates a compressive stress in the channel region which degrades electron mobility and limits the performance for the nFET. See the simulations of Fig.10. The inventors believe that the grain growth and resulting severe increase in tensile stress is almost completely eliminated by annealing with the SiN hard mask 15 disposed over the nFET region. The grain structure can be optimized for each device independently by depositing the small grain poly Si into the recess and annealing the nFET with the SiN hard mask. This step appears to result in a notable improvement in nFET device performance. The next step in the inventive process is to remove the SiN hard mask 15 from the entire horizontal part of the oxide film 10 or from the entire horizontal part (except over the portion 17, as shown in Fig.8). Because the poly Si was recessed as described previously, a portion 19 of the hard mask 15 is left remaining (disposed) in the recessed hole as shown in Fig. 8. The purpose of the structure 19 is to prevent the grains from growing during subsequent conventional thermal cycles common in standard state-of-the art semiconductor manufacturing process technology. After the SiN etch, the oxide film 10 is removed using a suitable dry or wet etch process capable of removing the SiO2 film selectively relative to the SiN and the poly Si materials. A dry directional etch process is next performed on the liner layer 9 to form the spacers 20, 25 as shown in Fig.8. Although not essential for the present invention, the spacers 20 of the pFET and the spacers 25 of the nFET may have differing heights as shown. A similar block mask and implant process as was used to form the nFET and pFET extension regions 7, 8 is used to form the nFET source-drain regions 21 and the pFET source-drain region 22 shown in Fig.8. A rapid thermal anneal is next performed to activate the junctions. Because the nFET continues to have the SiN layer 19 present, grain growth in the nFET gate is suppressed, thereby minimizing the tension in the gate electrode stack 3, 12, 17 and subsequent compression in the channel region below the stack.
The remaining portion 19 over the gate electrode stack is next removed using a wet or dry etch process. Next, a suicide pre-cleaning process is carried out followed by a conventional silicide process. See Fig.9 and silicide 23. Standard back-end-of-line processing is done including pre-metal dielectric deposition and planarization, contact etch, contact liner formation and contact formation, followed by metal wiring and final chip fabrication, all not shown.
Simulation results indicate that the tensile stress level in uncapped polySi increases by about 600MPa to about 1200MPa as a result of annealing for about one hour at a temperature of about 600° C, while the capped polySi increases in tensile stress by only about lOMPa. Our simulation results show that about 33% to about 50% of the stress in the gate material (with the opposite sign with respect to the gate stress) can be translated into the channel region. Thus, the uncapped gate stack imparts -200MPa to -300MPa, while the capped gate stack translates little or no stress into the channel region. One simulation of stress contours is shown in the diagram of Fig. 10.
While there has been shown and described what is at present considered the preferred embodiments of the present invention, it will be apparent to those killed in the art that various changes and modifications may be made therein without departing from the spirit and scope of the present invention which shall be limited only by the appended claims.

Claims

What is claimed is: 1. A method of fabricating a semiconductor device structure, comprising: providing a substrate; providing an electrode on the substrate; forming a recess in the electrode, the recess having an opening; disposing a small grain semiconductor material within the recess; covering the opening to contain the small grain semiconductor material within the recess; and then, annealing the resultant structure.
2. The method is claimed in claim 1, wherein said step of annealing includes annealing the resultant structure at an approximately constant temperature in a range of about 500 °C to about 600 °C for about one hour.
3. The method as claimed in claim 1, wherein the small grain semiconductor material is a polysilicon material having an average grain diameter in a range of approximately one nm to approximately 30 nm
4. The method as claimed in claim 1, wherein said step of covering includes masking the entire opening.
5. A semiconductor device structure, comprising: a first semiconductor device having a first gate stack, and a second semiconductor device having a second gate stack; wherein said first gate stack includes a first semiconductor material having an average grain size of less than approximately 30nm, and wherein said second gate stack includes a second semiconductor material having an average grain size of greater than approximately 30nm.
6. The structure as claimed in claim 5, wherein said first semiconductor material is a grained polysilicon.
7. The structure as claimed in claim 5, wherein said first semiconductor device is an nFET and said second semiconductor device is a pFET.
8. The structure as claimed in claim 5, further comprising a first channel disposed below said first gate stack, and a second channel disposed below said second gate stack; wherein the second gate stack imparts a compressive stress to the second channel in a range of approximately -200MPa to approximately -600MPa, while the first gate stack imparts a compressive stress to the first channel in a range of approximately -10 MPa to approximately -100 MPa.
9. The structure as claimed in claim 5, further comprising an isolation region disposed between said first semiconductor device and said second semiconductor device.
10. The structure as claimed in claim 5, wherein said second semiconductor material is a grained polysilicon.
11. The structure as claimed in claim 5, further comprising a third semiconductor material and a fourth semiconductor material, wherein said first semiconductor material is disposed on said third semiconductor material and wherein said second semiconductor material is disposed on said fourth semiconductor material.
12. The structure as claimed in claim 11 , wherein said third semiconductor material is a small grained polysilicon and said fourth semiconductor material is a small grained polysilicon.
13. A method for fabricating a semiconductor device structure, comprising: providing a substrate; forming an nFET and a pFET on the substrate; replacing portions of the gate electrodes from the nFET and said pFET with a small-grained polysilicon; covering the small-grained polysilicon of the nFET, and then heating the nFET and the pFET, so that an average diameter of the grains within the nFET is less than an average diameter of the grains within the pFET.
14. The method as claimed in claim 13, wherein said step of heating includes heating the nFET and the pFET to temperatures within a range of approximately 500°C to approximately 600° C for approximately one hour.
15. The method as claimed in claim 13, wherein the small-grained polysilicon has an average grain size in a range of about five nm to about 30nm
16. The method as claimed in claim 13, wherein said step of replacing includes removing the portions of the gate electrodes to form recesses, and then disposing the grained polysilicon within the nFET and pFET recesses.
17. The method as claimed in claim 13, wherein said step of replacing includes removing the entire portions of the gate electrodes to form recesses, and then depositing the small-grained polysilicon within the recesses.
18. The method as claimed in claim 13, wherein said step of covering includes disposing a mask over the grained polysilicon of the nFET, the mask consisting essentially of SiN.
19. The method as claimed in claim 13, further comprising forming spacers for the nFET and the pFET, the spacers having different heights.
20. The method as claimed in claim 13, further comprising providing spacers for the mFET and the pFET, the spacers for the pFET having heights which are less than heights of the spacers for the nFET.
PCT/US2004/037434 2003-11-14 2004-11-09 Stressed semiconductor device structures having granular semiconductor material WO2005050701A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006539779A JP4843498B2 (en) 2003-11-14 2004-11-09 Method for manufacturing a semiconductor device structure
EP04810633A EP1683187B1 (en) 2003-11-14 2004-11-09 Stressed semiconductor device structures having granular semiconductor material
AT04810633T ATE512465T1 (en) 2003-11-14 2004-11-09 STRESSED SEMICONDUCTOR DEVICE STRUCTURES USING GRANULAR SEMICONDUCTOR MATERIAL

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/707,018 US7122849B2 (en) 2003-11-14 2003-11-14 Stressed semiconductor device structures having granular semiconductor material
US10/707,018 2003-11-14

Publications (3)

Publication Number Publication Date
WO2005050701A2 true WO2005050701A2 (en) 2005-06-02
WO2005050701A8 WO2005050701A8 (en) 2005-11-03
WO2005050701A3 WO2005050701A3 (en) 2006-01-05

Family

ID=34573430

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/037434 WO2005050701A2 (en) 2003-11-14 2004-11-09 Stressed semiconductor device structures having granular semiconductor material

Country Status (7)

Country Link
US (2) US7122849B2 (en)
EP (1) EP1683187B1 (en)
JP (1) JP4843498B2 (en)
KR (1) KR100946038B1 (en)
CN (1) CN100468785C (en)
AT (1) ATE512465T1 (en)
WO (1) WO2005050701A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506549A (en) * 2005-08-22 2009-02-12 インターナショナル・ビジネス・マシーンズ・コーポレーション High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10245153A1 (en) * 2002-09-27 2004-04-15 Infineon Technologies Ag Integrated field effect transistor with two control areas, use of this field effect transistor and manufacturing process
JP4085891B2 (en) * 2003-05-30 2008-05-14 ソニー株式会社 Semiconductor device and manufacturing method thereof
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) * 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
CN100501998C (en) * 2005-04-11 2009-06-17 恩益禧电子股份有限公司 Semiconductor device
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070108529A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
JP5222583B2 (en) * 2007-04-06 2013-06-26 パナソニック株式会社 Semiconductor device
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
KR20100101446A (en) * 2009-03-09 2010-09-17 삼성전자주식회사 Semiconductor device and method of forming the same
US8034692B2 (en) 2009-10-20 2011-10-11 International Business Machines Corporation Structure and method for manufacturing asymmetric devices
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102376582A (en) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 Semiconductor device structure and method for producing same
JP5422534B2 (en) * 2010-10-14 2014-02-19 株式会社東芝 Nonvolatile resistance change element and method of manufacturing nonvolatile resistance change element
CN102456621A (en) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 Semiconductor device structure and method for manufacturing same
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (en) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrated circuit comprising bipolar and complementary mos transistors on a common substrate, and method of making the same
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
EP0469215B1 (en) * 1990-07-31 1995-11-22 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (en) * 1996-03-15 1999-08-02 윤종용 Trench device separation
KR100230359B1 (en) * 1996-04-04 1999-11-15 윤종용 Fabrication method of low temperature polysilicon thin film transistor
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (en) 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
JP3523093B2 (en) * 1997-11-28 2004-04-26 株式会社東芝 Semiconductor device and manufacturing method thereof
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (en) 1998-03-02 2000-12-15 윤종용 Method of fabricating trench isolation in an integrated circuit
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (en) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP2000183346A (en) * 1998-12-15 2000-06-30 Toshiba Corp Semiconductor device and manufacture thereof
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6274467B1 (en) * 1999-06-04 2001-08-14 International Business Machines Corporation Dual work function gate conductors with self-aligned insulating cap
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (en) 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6423615B1 (en) * 1999-09-22 2002-07-23 Intel Corporation Silicon wafers for CMOS and other integrated circuits
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2002093921A (en) * 2000-09-11 2002-03-29 Hitachi Ltd Method of manufacturing semiconductor device
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP4831885B2 (en) * 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6534390B1 (en) * 2002-01-16 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Salicide method for producing a semiconductor device using silicon/amorphous silicon/metal structure
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP2004172389A (en) * 2002-11-20 2004-06-17 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
JP4449374B2 (en) * 2003-09-04 2010-04-14 株式会社日立製作所 Semiconductor device
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP1683187A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506549A (en) * 2005-08-22 2009-02-12 インターナショナル・ビジネス・マシーンズ・コーポレーション High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same
US8405131B2 (en) 2005-08-22 2013-03-26 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same

Also Published As

Publication number Publication date
US7122849B2 (en) 2006-10-17
EP1683187A4 (en) 2008-08-27
US20080064172A1 (en) 2008-03-13
KR100946038B1 (en) 2010-03-09
US7488658B2 (en) 2009-02-10
JP2007511909A (en) 2007-05-10
US20050106799A1 (en) 2005-05-19
CN100468785C (en) 2009-03-11
ATE512465T1 (en) 2011-06-15
WO2005050701A8 (en) 2005-11-03
CN1879227A (en) 2006-12-13
KR20070015499A (en) 2007-02-05
EP1683187B1 (en) 2011-06-08
EP1683187A2 (en) 2006-07-26
JP4843498B2 (en) 2011-12-21
WO2005050701A3 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
US7488658B2 (en) Stressed semiconductor device structures having granular semiconductor material
US7388259B2 (en) Strained finFET CMOS device structures
KR100992036B1 (en) High performance mosfet comprising a stressed gate metal silicide layer and method of fabricating the same
EP1565931B1 (en) Strained finfet cmos device structures
US7052946B2 (en) Method for selectively stressing MOSFETs to improve charge carrier mobility
US7052964B2 (en) Strained channel transistor and methods of manufacture
KR101063360B1 (en) Increasing CMOS transistor performance by inducing strain in gates and channels
US7321155B2 (en) Offset spacer formation for strained channel CMOS transistor
US8012820B2 (en) Ultra-thin SOI CMOS with raised epitaxial source and drain and embedded SiGe PFET extension
US7867860B2 (en) Strained channel transistor formation
US6916694B2 (en) Strained silicon-channel MOSFET using a damascene gate process
US7569434B2 (en) PFETs and methods of manufacturing the same
US20050136583A1 (en) Advanced strained-channel technique to improve CMOS performance
US20080150041A1 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US20080128765A1 (en) MOSFET Device With Localized Stressor
JP2009516363A (en) Structure and method for increasing strain enhancement by spacerless FET and dual liner method
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7384833B2 (en) Stress liner for integrated circuits
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
KR100714929B1 (en) Strained finfet cmos device structures

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480033367.8

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 22/2005 UNDER (71) THE ADDRESS SHOULD READ "NEW ORCHARD ROAD ARMONK, NY 10504 (US)."

WWE Wipo information: entry into national phase

Ref document number: 2004810633

Country of ref document: EP

Ref document number: 2006539779

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020067009171

Country of ref document: KR

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 3431/DELNP/2006

Country of ref document: IN

WWP Wipo information: published in national office

Ref document number: 2004810633

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067009171

Country of ref document: KR