WO2005067021A1 - An amorphous etch stop for the anisotropic etching of substrates - Google Patents

An amorphous etch stop for the anisotropic etching of substrates Download PDF

Info

Publication number
WO2005067021A1
WO2005067021A1 PCT/US2004/043671 US2004043671W WO2005067021A1 WO 2005067021 A1 WO2005067021 A1 WO 2005067021A1 US 2004043671 W US2004043671 W US 2004043671W WO 2005067021 A1 WO2005067021 A1 WO 2005067021A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
crystal
subsfrate
recess
etch
Prior art date
Application number
PCT/US2004/043671
Other languages
French (fr)
Inventor
Steven Keating
Chris Auth
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to DE112004002611T priority Critical patent/DE112004002611B4/en
Publication of WO2005067021A1 publication Critical patent/WO2005067021A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • the present invention relates to the field of etching substrates for use in integrated circuits, and more particularly to the field of anisotropic wet etching and the use of amorphous etch stop regions.
  • a problem found in etching substrates on which structures have been formed is microloading. Microloading is the influence that the differing densities of structures in different regions on a single substrate will have on the etch geometry in those different regions. Examples of different etch geometries in regions having different structure densities are illustrated in Figure 1.
  • Region 1 is a region having a relatively high density of structures 110 formed on the substrate 120.
  • the structures 110 used for example in Figure 1 are transistor gates 130 having sidewall spacers 140.
  • the substrate is etched to form recesses that are subsequently backfilled with a doped material to form source/drain regions.
  • Region 2 is a region having a relatively low density of structures 110 formed on the substrate 120.
  • the etched areas 150 which may be source/drain regions for transistor gates 130, have different etch geometries in regions 1 and 2.
  • the etched areas 150 in the relatively dense Region 1 undercut less area of the sidewall spacers and transistor gates than do the etched areas 150 in Region 2 and also tend to have less depth than the etched areas 150 in Region 2.
  • the undercut areas 160 in Region 1 only undercut the sidewall spacers 140, but the undercut areas 170 in Region 2 undercut both the sidewall spacers 140 and the transistor gates 130.
  • Microloading is a significant problem affecting the performance of integrated circuits because it results in the formation of devices on a substrate that have inconsistent structures as compared to other devices on the same substrate.
  • Microloading has been dealt with in the past by forming dummy structures on a substrate so that the density of structures on the substrate is equal everywhere on the substrate. Dummy structures are not ideal because they take up space on a substrate that may be put to better use and because large spaces between structures may be needed for specific device requirements.
  • Microloading has also been dealt with in the past by forming an etch stop within the substrate to control the depth of the etching.
  • the prior art has formed an etch stop in the substrate by doping the substrate with extrinsic elements such as boron (B), phosphorous (P), and arsenic (As). The etch stop helps control the depth of an anisotropic wet etch.
  • both the depth of the area etched as well as the width (undercut) of the area etched maybe controlled.
  • the drawback to using elements such as boron, phosphorous, and arsenic is that they may diffuse from the etch stop area into regions where they may cause electrical interference with devices formed in or on the substrate.
  • a double spacer process has also been used to counter the effects of lateral undercutting caused by microloading.
  • the lateral undercut is controlled by first forming narrow sidewall spacers on either side of the gate electrodes. A hole is then etched with an amsotropic dry etch and then filled with the material of interest. Another sidewall spacer is then formed and the substrate between the spacers is implanted with an extrinsic element.
  • this method requires a number of steps and will not fully prevent the problem of inconsistent undercutting due to microloading and cannot be used when undercutting for structures such as source/drain tip extension regions.
  • Figure 1 is an illustration of a substrate demonstrating microloading after a prior art etch.
  • Figures 2a - 2j illustrate forming a transistor having source/drain implant regions and employing an amorphous implant region as an etch stop.
  • Figures 3a - 3g illustrate an alternate embodiment of forming a transistor without source/drain implant regions and employing an amorphous implant region as an etch stop.
  • Figures 4a - 4d illustrate a method of forming a cantilever. DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • an amorphous etch stop layer by implanting a substrate with an element that is electrically neutral within the substrate are described.
  • the use of elements that are electrically neutral within the substrate prevents electrical interference by the elements if they diffuse to other areas within the substrate.
  • the amorphous etch stop layer may be used in the fabrication of transistors or as a hard mask to form other devices such as a cantilever.
  • an amorphous etch stop region may be formed in a substrate to fabricate a transistor.
  • a substrate 200 is provided in Figure 2a.
  • the substrate 200 maybe a single crystal or polycrystalline semiconductor material such as silicon or germanium.
  • a single crystal silicon substrate 200 is illustrated having a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes.
  • the substrate 200 may contain both p-type and n-type wells depending on whether a PMOS or NMOS transistor is formed.
  • the area of the substrate illustrated in Figure 2a may be a p-type doped with a p-type dopant such as boron or gallium, or alternatively may be an n-type well doped with an n-type dopant such as phosphorous or arsenic.
  • a gate dielectric 205 is formed on the substrate 200.
  • the gate dielectric 205 may be a material such as a nitrided oxide layer.
  • a gate electrode 210 is formed above the gate dielectric 205.
  • the gate electrode 210 may be formed by the blanket deposition of a polysilicon layer and the subsequent patterning of the polysilicon layer into the gate electrode 210.
  • Isolation regions 215 are formed in the substrate 200 to separate n-type wells from p-type wells, and to therefore isolate adjacent transistors.
  • the field isolation regions 215 maybe, for example, shallow trench isolation (STI) regions formed by etching a trench into the substrate 200 and subsequently filling the trench with deposited oxide and planarizing.
  • STI shallow trench isolation
  • a hard mask 220 is formed over the gate electrode 210 to protect the gate electrode 210 during subsequent implantation processes.
  • a dopant 230 is implanted into the substrate 200 to form the source- drain tip regions 225.
  • the dopant 230 will be a p-type dopant such as boron or gallium, and the substrate 200 will be an n-type well in this region between the isolation regions 215.
  • the dopant 230 will be an n-type dopant such as phosphorous or arsenic, and the substrate 200 will be a p-type well in this region between the isolation regions 215.
  • the source/drain tip implant regions 225 may have a depth of less than approximately 10 nm and a width spanning the region between the gate electrode 210 and the isolation regions 215.
  • Figure 2c illustrates an embodiment where recesses 235 are etched into the substrate. Sidewall spacers 240 are formed before etching the recesses 235 by a conventional process well known to those skilled in the art.
  • the substrate is then etched to form the recesses 235.
  • the recesses 235 may be etched by an anisotropic plasma etch using compounds such as gaseous Cl 2 , SF 6 , or HBr that will etch through the source/drain tip implant regions 225 and the substrate 200.
  • the recesses 235 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm.
  • An ionic species 245 may then be implanted into the bottom of the recesses 235 in the substrate 200 as illustrated in Figure 2d to form the amo ⁇ hous etch stop region 250.
  • a hard mask 325 is formed over the gate electrode 310 to protect the gate electrode during the implantation.
  • the amo ⁇ hous etch stop region 250 is formed by disrupting the bonds of the substrate 200 with the implant process.
  • the bonds of the substrate 200 are disrupted to the extent that they will create an etch stop region for a wet anisotropic etchant.
  • the parameters involved in disrupting the bonds of the substrate 200 include the accelerating energy, ionic radius, and mass of the ionic species 245.
  • the ionic species 245 may be implanted into the bottom of the recesses 235 in a dose of approximately between 5 x e 14 atoms/cm 2 and 1 x e 15 atoms/cm 2 , and at an implant energy within the approximate range of 1 KeV and 20 KeV to form a concentration of the ionic species 245 within the amo ⁇ hous etch stop region 250 of approximately 1 x e 21 atoms/cm 3 .
  • the implant energy may be dependent on the ionic species 245 being implanted and in one embodiment the implant energy is as low as possible to avoid unnecessary damage to the substrate 200.
  • the ionic species 245 may be implanted to a depth of up to approximately 50 nm or maybe deposited onto the surface of the bottom of the recesses 235.
  • the ionic species 245 is an element that is electrically neutral within the substrate so that it will not interfere electrically with devices in or on the substrate 200 if it diffuses within the substrate.
  • the ionic species 245 that is electrically neutral within the substrate 200 may be an element that is the same as the elements forming the substrate.
  • the element implanted into a silicon substrate 200 maybe silicon, or alternatively, the element implanted into a germanium substrate 200 may be germanium.
  • the ionic species 245 that is electrically neutral within the substrate may be an element that has a low solubility in the substrate 200 and thus may not substitute for atoms within the crystal lattice of the substrate.
  • Elements that may be electrically neutral within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon.
  • the covalent radius of silicon is approximately 111 picometers (pm), so the elements that may be electrically neutral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm. Elements with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically neutral within silicon.
  • Ionic species 245 that fit the ionic radii criteria for a silicon substrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra).
  • the ionic species 245 may be one type of element, or a combination of elements.
  • Figures 2e and 2f illustrate an alternate embodiment where instead of first etching recesses 235 as illustrated in Figure 2c and subsequently implanting the bottoms of the recesses 235 with an ionic species 245 as illustrated in Figure 2d, the ionic species 245 is implanted into the substrate 200 before etching recesses 235 into the substrate 200.
  • the ionic species 245 may be implanted into the subsfrate 200 to form the amo ⁇ hous etch stop region 250.
  • the ionic species 245 maybe implanted into the substrate 200 in a dose of between 1 x e 15 atoms/cm 2 and 1 x e 16 atoms/cm 2 and at an implant energy within the approximate range of 10 KeV and 40 KeV.
  • the implant energy may be dependent on the implant material 245 being implanted and the implant energy may be as low as possible to avoid damage to the substrate 200.
  • the ionic species 245 may be implanted to a depth slightly exceeding the depth of the recesses 235 to form the amo ⁇ hous etch stop region 250 of Figure 2e.
  • the ionic species 245 is a material that is electrically neutral within the substrate 200 and may be any of the particular elements described above.
  • recesses 235 may then be etched in the substrate 200 through the upper portion of the amo ⁇ hous etch stop region 250 by an anisotropic plasma etch with a compound such as Cl 2 , SF 6 or HBr.
  • the amo ⁇ hous etch stop region 250 does not act as an etch stop for the anisotropic plasma etch, but will act as an etch stop for an anisotropic wet etch because it acts as a mask to protect the substrate surface, as will be described below.
  • the recesses 235 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm.
  • the substrate 200 is etched with an anisotropic wet etch to form an etched undercut region 260 having sha ⁇ diagonal faceting 265 along the [111] crystal plane.
  • the anisotropic wet etch stops etching on the amo ⁇ hous etch stop region 250, so that the bottom of the trench is flat.
  • the side wall spacers 240, the source/drain tip implant regions 225, and the STI isolation regions 215 will not be etched by the anisotropic wet etch.
  • the anisotropic wet etch may be an alkaline wet etch having a pH of approximately 10 or higher.
  • the wet etch maybe formulated of an aqueous solution containing a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NE OH (ammonia hydroxide), or TMAH (teframethylammoma hydroxide).
  • a solution of 30% NH 4 OH by weight may be mixed with water to form an anisotropic etch solution 270 having a concentration of NEUOH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NEUOH by weight percent in the approximate range of 3% - 30%.
  • the etch maybe performed at approximately room temperature. To increase the etch rate the temperature may be increased.
  • the temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C, and more particularly approximately 24°C.
  • the etch rate may be in the approximate range of 10 nm/minute and 100 nm/minute.
  • An oxidizing agent is not included in the etch solution because it may oxidize the subsfrate 200 and stop the anisofropic etching such that the strong faceting 260 along the [111] crystal plane may not occur.
  • the subsfrate 200 may be etched for a time in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the more lateral undercutting may result.
  • the distance that the undercut regions 260 are laterally etched may be the width of the side wall spacers 240, as illustrated in Figure 2g. Therefore the width of the undercut regions may be in the approximate range of 5 nm - 100 nm, and more particularly in the approximate range of 10 nm - 30 nm. [0019] As illustrated in Figure 2h the undercut regions 260 may be extended under the gate electrode 210 to a distance in the approximate range of 10% to 20% of the width of the gate 210.
  • the source/drain tip implant regions 225 affect the geometry of the undercut regions 260 because the subsfrate 200 is etched up to the bottoms of the source/drain tip implant regions 225.
  • the undercut regions 260 as they extend beyond the source/drain tip implant regions 225 and under the gate electrode 210 will leave a distance 270 of the substrate 200 between the undercut regions 260 and the gate dielectric 205. This may prevent the undercut regions 260 from being etched too close to the gate electrode.
  • the undercut regions 260 under the gate electrode 210 may be extended tip source/drain regions of the transistor after backfilling the recesses 235 and the undercut regions 260 with a doped semiconductor backfill material 275, such as epitaxial silicon germanium, as illustrated in Figure 2i.
  • the amo ⁇ hous etch stop region 250 may be recrystallized during the backfill process of the backfill material 275 due to the temperature of the back fill process.
  • the temperature of the subsfrate 200 may reach temperatures in the range of approximately 600°C and 650°C during the backfill process.
  • the implant material 245 is the same element as the substrate 200
  • the recrystallization of the amo ⁇ hous etch stop region 250 will make that region similar to the rest of the substrate 200.
  • Figure 2j illustrates a transistor 280 having source/drain regions 285 and extended tip source/drain regions 290 formed by the doped semiconductor backfill material 275 deposited in the areas formed by the etching process described above.
  • a transistor fabricated using an amo ⁇ hous etch stop region maybe formed without source/drain implant regions so that the undercut region of the anisotropic wet etch forms a sha ⁇ etch geometry underneath the sidewall spacers of the transistor.
  • a substrate 300 is provided in Figure 3a.
  • the substrate 300 may be a single crystal or polycrystalline semiconductor material such as silicon or germanium.
  • a single crystal silicon substrate 200 is illustrated having a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes.
  • the subsfrate 300 may contain both p-type and n-type wells depending on whether a PMOS or NMOS transistor is formed.
  • the area of the substrate illustrated in Figure 3 a may be a p-type doped with a p-type dopant such as boron or gallium, or alternatively may be an n-type well doped with an n-type dopant such as phosphorous or arsenic.
  • a gate dielectric 305 is formed on the substrate 300.
  • the gate dielectric 305 maybe a material such as a nitrided oxide layer.
  • a gate electrode 310 is formed above the gate dielectric 305.
  • the gate electrode 310 may be formed by the blanket deposition of a polysilicon layer and the subsequent patterning of the polysilicon layer into the gate electrode 310.
  • Isolation regions 315 are formed in the subsfrate 300 to separate n-type wells from p-type wells, and to therefore isolate adjacent transistors.
  • the field isolation regions 315 may be, for example, shallow french isolation (STI) regions formed by etching a french into the substrate 300 and subsequently filling the french with deposited oxide.
  • STI shallow french isolation
  • a pair of side wall spacers 320 are formed on either side of the gate electrode 310 by conventional methods known to one of skill in the art. Additionally, a hard mask 325 may be formed on the gate electrode 310.
  • Figure 3c illusfrates an embodiment where recesses 330 are etched into the subsfrate 300 before implanting the substrate 300 with an implant material 335.
  • the recesses 330 may be etched by an anisotropic plasma etch using compounds such as gaseous Cl 2 , SF 6 , or HBr.
  • the recesses 330 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm.
  • An ionic species 335 may then be implanted into the bottom of the recesses 330 in the substrate 300 as illustrated in Figure 3d to form the amo ⁇ hous etch stop region 340.
  • the amo ⁇ hous etch stop region 340 is formed by disrupting the bonds of the substrate 300 with the implant process.
  • the bonds of the substrate 300 are disrupted to the extent that they will create an etch stop region for a wet anisotropic etchant.
  • the parameters involved in disrupting the bonds of the substrate 300 include the accelerating energy, ionic radius, and mass of the ionic species 335.
  • the ionic species 335 maybe implanted into the bottom of the recesses 330 in a dose of approximately between 5 x e 14 atoms/cm 2 and 1 x e 15 atoms/cm 2 , and at an implant energy within the approximate range of 1 KeV and 20 KeV to form a concentration of the ionic species 335 within the amo ⁇ hous etch stop region 340 of approximately 1 x e 21 atoms/cm 3 .
  • the implant energy may be dependent on the ionic species 335 being implanted and in one embodiment the implant energy is as low as possible to avoid unnecessary damage to the substrate 300.
  • the ionic species 335 may be implanted to a depth of up to approximately 50 nm or may be deposited onto the surface of the bottom of the recesses 330.
  • the ionic species 335 is an element that is electrically dural within the substrate so that it will not interfere electrically with devices in or on the substrate 300 if it diffuses within the substrate.
  • the ionic species 335 that is electrically dural within the subsfrate 300 maybe an element that is the same as the elements forming the substrate.
  • the element implanted into a silicon substrate 300 maybe silicon, or alternatively, the element implanted into a germanium subsfrate 300 may be germanium.
  • the ionic species 335 that is electrically neutral within the substrate may be an element that has a low solubility in the subsfrate 300 and thus may not substitute for atoms within the crystal lattice of the substrate.
  • Elements that may be electrically dural within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon.
  • the covalent radius of silicon is approximately 111 picometers (pm), so the elements that may be electrically debutral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm.
  • Elements with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically dural within silicon.
  • Ionic species 335 that fit the ionic radii criteria for a silicon subsfrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra).
  • the ionic species 335 may be one type of element, or a combination of elements.
  • the ionic species 335 may be implanted into the substrate 300 before etching the recesses 330, as described above in relation to Figures 2e and 2f.
  • the subsfrate 300 is etched with an anisofropic wet etch to form an etched undercut region 345 having sha ⁇ diagonal faceting 350 along the [111] crystal plane.
  • the sha ⁇ diagonal faceting 350 occurs because there is no oxidizer in the anisotropic wet etch.
  • the anisofropic wet etch stops etching on the amo ⁇ hous etch stop region 340, so that the bottom of the trench is flat.
  • the amo ⁇ hous etch stop region 340 acts as a mask to protect the subsfrate surface.
  • the side wall spacers 320 and the STI isolation regions 315 will not be etched by the anisofropic wet etch.
  • the anisofropic wet etch maybe an alkaline wet etch having a pH of approximately 10 or higher.
  • the wet etch may be formulated of an aqueous solution containing a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NH OH (ammonia hydroxide), or TMAH (teframethylammonia hydroxide).
  • a solution of 30% NFJ OH by weight may be mixed with water to form an anisotropic etch solution 270 having a concentration of NH 4 OH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NH 4 OH by weight percent in the approximate range of 3% - 30%.
  • the etch may be performed at approximately room temperature. To increase the etch rate the temperature may be increased.
  • the temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C, and more particularly approximately 24°C.
  • the etch rate maybe in the approximate range of 10 nm/minute and 100 nm/minute.
  • the subsfrate 300 may be etched for a time sufficient to form the undercut region 345 under the sidewall spacers 320, but not for so long as to etch under the gate dielectric 305. hi one embodiment, the etch time is in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the more lateral undercutting may result.
  • the distance that the undercut regions 345 are laterally etched may be the width of the side wall spacers 320, as illustrated in Figure 3e. Therefore the width of the undercut regions may be in the approximate range of 5 nm - 100 nm, and more particularly in the approximate range of 10 nm - 30 nm.
  • the recesses 330 and the undercut regions 345 are backfilled with a doped semiconductor backfill material 355. During the backfilling process the substrate 300 may reach temperatures in the range of approximately 600°C and 650°C. With these temperatures, the amo ⁇ hous etch stop region 340 maybe recrystallized.
  • the recrystallization of the amo ⁇ hous etch stop region 340 will make that region indistinguishable from the rest of the subsfrate 300 as illustrated in Figure 3g.
  • Figure 3g illusfrates a transistor having source/drain regions 360 and source/drain tip regions 365 formed by the doped semiconductor backfill material 355 deposited in the areas formed by the etching process described above.
  • the source/drain tip regions 365 have sha ⁇ corners and are formed right along the bottom edge of the sidewall spacers 320.
  • the amo ⁇ hous etch stop regions may be used as a mask for positive relief features where the amo ⁇ hous etch stop regions are shallow and near the surface of a subsfrate.
  • a cantilever may be formed using an amo ⁇ hous etch stop layer as a mask and a wet anisotropic etch on a single crystal subsfrate.
  • the subsfrate may be a single crystal or polycrystalline semiconductor material such as silicon or germanium.
  • the semiconductor material is a single crystal silicon subsfrate.
  • a single crystal silicon subsfrate 400 is provided, the single crystal silicon substrate 400 has a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes.
  • a patterned photoresist mask 410 is formed on the subsfrate 400. The photoresist mask may be patterned by developing the photoresist.
  • a top view of the subsfrate 400 and the photoresist mask 410, and a cross-sectional view of the same subsfrate 400 and photoresist mask 410 along the dotted lines I to I, are illustrated.
  • the photoresist mask 410 has a square "U-shaped" pattern.
  • the photoresist mask 410 may be a material such as silicon oxide or silicon nitride.
  • the thickness of the photoresist mask 410 should be such that it is thick enough to block the penetration of the subsfrate 400 by the ionic species 420 implanted into the subsfrate 400.
  • the thickness of the photoresist mask 410 is dependent on the implant energy, but generally the photoresist mask 410 may have a thickness of less than approximately 10 nm.
  • the single crystal silicon substrate 400 may be implanted with ionic species 420 to form the amo ⁇ hous regions 430.
  • the ionic species 420 may be an element that is the same as the elements forming the substrate.
  • the element implanted into the silicon substrate 400 may be silicon, and in another embodiment, the element implanted into a germanium subsfrate 400 may be germanium.
  • the element that is implanted into the substrate to form the amo ⁇ hous region may be an element that is electrically dural within the subsfrate.
  • Elements that are electrically neutral within the subsfrate may be those elements that have a low solubility in the substrate and thus may not substitute for atoms within the crystal lattice of the substrate.
  • Elements that maybe electrically dural within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon.
  • the covalent radius of silicon is approximately 111 picometers (pm), so the elements that maybe electrically neutral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm.
  • Ionic species 420 with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically neutral within silicon.
  • Ionic species 420 that fit the ionic radii criteria for a silicon subsfrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra).
  • the ionic species 420 may be one type of element, or a combination or elements.
  • the ionic species 420 may be implanted into the substrate 400 in a dose of between 1 x e 15 atoms/cm 2 and 1 x e 16 atoms/cm 2 and at an implant energy within the approximate range of 1 KeV and 20 KeV, and more particularly approximately 5 KeV to form a concentration of the ionic species 420 within the substrate 400 of approximately 1 x e atoms/cm .
  • the implant energy may be dependent on the ionic species 420 being implanted and the implant energy may be as low as possible to avoid damage to the subsfrate 400.
  • the ionic species 420 may be implanted to a depth in the approximate range of zero nanometers (on the surface only) and 50 nm.
  • the photoresist mask 410 may then be removed as illusfrated in Figure 4c to expose the non-implanted regions of the single crystal silicon substrate 400.
  • the non-implanted regions of the substrate 400 reflect the "U-shaped" area of the photoresist mask 410 before it was removed and may have the same dimensions.
  • the single crystal silicon substrate 400 is etched with an anisofropic wet etch solution and the amo ⁇ hous regions 430 will act as a hard mask.
  • the anisotropic wet etch solution may be an alkaline wet etch having a pH above approximately 10.
  • the anisotropic wet etch solution may be formed with a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NH 4 OH (ammonia hydroxide), or TMAH (teframethylammonia hydroxide).
  • a solution of 30% NH 4 OH by volume may be mixed with water to form an anisotropic wet etch solution having a concentration of NH 4 OH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NH OH by weight percent in the approximate range of 3% - 30%.
  • the etch may be performed at approximately room temperature.
  • the temperature of the anisotropic wet etch solution may be increased.
  • the temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C and more particularly approximately 24°C.
  • the etch rate may be in the approximate range of 10 nm/minutes and 100 nm/minutes.
  • the substrate 400 may be etched for a time in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the larger the dimensions of the recess 450.
  • An oxidizing agent may not be included in the anisofropic wet etch solution because if the subsfrate 400 is oxidized the etching may stop and the strong faceting 460 along the [111] crystal plane may not occur.
  • the anisotropic wet etch solution may etch along the [111] diagonal crystal planes 460, and along the [100] vertical crystal plane to form the cantilever 475.
  • the cantilever 475 may be shaped like a diving board protruding out over the recess 450.
  • the cantilever 475 maybe formed due to the lateral undercutting of the amo ⁇ hous regions 430 that forms the core of the cantilever 475 by the anisofropic wet etch.
  • the flat portions 470 of the recess 450 are formed due to the geometry of the anisofropic wet etch solution along the horizontal [110] crystal planes of the single crystal silicon subsfrate 400.
  • the use of a square "mask" formed by the amo ⁇ hous regions 430 leads to the formation of the flat portions 470 of the recess 450.
  • the recess 450 may have an aspect ratio in the approximate range of 1 : 1 and 1:5.
  • the cantilever 475 may be used as a pressure or accellerometer.

Abstract

Methods of forming an amorphous etch stop layer by implanting a substrate with an element that is electrically neutral within the substrate are described. The use of elements that are electrically neutral within the substrate prevents electrical interference by the elements if they diffuse to other areas within the substrate. The amorphous etch stop layer may be used as a hard mask in the fabrication of transistors or other devices such as a cantilever.

Description

AN AMORPHOUS ETCH STOP FOR THE ANISOTROPIC ETCHING OF SUBSTRATES
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
[0001] The present invention relates to the field of etching substrates for use in integrated circuits, and more particularly to the field of anisotropic wet etching and the use of amorphous etch stop regions.
2. DISCUSSION OF RELATED ART
[0002] A problem found in etching substrates on which structures have been formed is microloading. Microloading is the influence that the differing densities of structures in different regions on a single substrate will have on the etch geometry in those different regions. Examples of different etch geometries in regions having different structure densities are illustrated in Figure 1. Region 1 is a region having a relatively high density of structures 110 formed on the substrate 120. The structures 110 used for example in Figure 1 are transistor gates 130 having sidewall spacers 140. In this example, the substrate is etched to form recesses that are subsequently backfilled with a doped material to form source/drain regions. Region 2 is a region having a relatively low density of structures 110 formed on the substrate 120. The etched areas 150, which may be source/drain regions for transistor gates 130, have different etch geometries in regions 1 and 2. The etched areas 150 in the relatively dense Region 1 undercut less area of the sidewall spacers and transistor gates than do the etched areas 150 in Region 2 and also tend to have less depth than the etched areas 150 in Region 2. For example, as illustrated in Figure 1, the undercut areas 160 in Region 1 only undercut the sidewall spacers 140, but the undercut areas 170 in Region 2 undercut both the sidewall spacers 140 and the transistor gates 130. Microloading is a significant problem affecting the performance of integrated circuits because it results in the formation of devices on a substrate that have inconsistent structures as compared to other devices on the same substrate. [0003] Microloading has been dealt with in the past by forming dummy structures on a substrate so that the density of structures on the substrate is equal everywhere on the substrate. Dummy structures are not ideal because they take up space on a substrate that may be put to better use and because large spaces between structures may be needed for specific device requirements. [0004] Microloading has also been dealt with in the past by forming an etch stop within the substrate to control the depth of the etching. The prior art has formed an etch stop in the substrate by doping the substrate with extrinsic elements such as boron (B), phosphorous (P), and arsenic (As). The etch stop helps control the depth of an anisotropic wet etch. By using an etch stop with an anisotropic wet etch, both the depth of the area etched as well as the width (undercut) of the area etched maybe controlled. The drawback to using elements such as boron, phosphorous, and arsenic is that they may diffuse from the etch stop area into regions where they may cause electrical interference with devices formed in or on the substrate.
[0005] A double spacer process has also been used to counter the effects of lateral undercutting caused by microloading. In this method the lateral undercut is controlled by first forming narrow sidewall spacers on either side of the gate electrodes. A hole is then etched with an amsotropic dry etch and then filled with the material of interest. Another sidewall spacer is then formed and the substrate between the spacers is implanted with an extrinsic element. But, this method requires a number of steps and will not fully prevent the problem of inconsistent undercutting due to microloading and cannot be used when undercutting for structures such as source/drain tip extension regions.
BRIEF DESCRIPTION OF THE DRAWINGS
[0006] Figure 1 is an illustration of a substrate demonstrating microloading after a prior art etch.
[0007] Figures 2a - 2j illustrate forming a transistor having source/drain implant regions and employing an amorphous implant region as an etch stop.
[0008] Figures 3a - 3g illustrate an alternate embodiment of forming a transistor without source/drain implant regions and employing an amorphous implant region as an etch stop.
[0009] Figures 4a - 4d illustrate a method of forming a cantilever. DETAILED DESCRIPTION OF THE PRESENT INVENTION
[0010] Described herein are methods and devices employing an amorphous etch stop layer formed by implanting elements that are electrically neutral within a substrate. In the following description numerous specific details are set forth. One of ordinary skill in the art, however, will appreciate that these specific details are not necessary to practice embodiments of the invention. While certain exemplary embodiments of the invention are described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative and not restrictive of the current invention, and that this invention is not restricted to the specific constructions and arrangements shown and described because modifications may occur to those ordinarily skilled in the art. In other instances, well known semiconductor fabrication processes, techniques, materials, equipment, etc., have not been set forth in particular detail in order to not unnecessarily obscure embodiments of the present invention. [0011] Methods of forming an amorphous etch stop layer by implanting a substrate with an element that is electrically neutral within the substrate are described. The use of elements that are electrically neutral within the substrate prevents electrical interference by the elements if they diffuse to other areas within the substrate. The amorphous etch stop layer may be used in the fabrication of transistors or as a hard mask to form other devices such as a cantilever.
[0012] In one embodiment, an amorphous etch stop region may be formed in a substrate to fabricate a transistor. A substrate 200 is provided in Figure 2a. The substrate 200 maybe a single crystal or polycrystalline semiconductor material such as silicon or germanium. In Figure 2a a single crystal silicon substrate 200 is illustrated having a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes. The substrate 200 may contain both p-type and n-type wells depending on whether a PMOS or NMOS transistor is formed. The area of the substrate illustrated in Figure 2a may be a p-type doped with a p-type dopant such as boron or gallium, or alternatively may be an n-type well doped with an n-type dopant such as phosphorous or arsenic. A gate dielectric 205 is formed on the substrate 200. The gate dielectric 205 may be a material such as a nitrided oxide layer. A gate electrode 210 is formed above the gate dielectric 205. The gate electrode 210 may be formed by the blanket deposition of a polysilicon layer and the subsequent patterning of the polysilicon layer into the gate electrode 210. Isolation regions 215 are formed in the substrate 200 to separate n-type wells from p-type wells, and to therefore isolate adjacent transistors. The field isolation regions 215 maybe, for example, shallow trench isolation (STI) regions formed by etching a trench into the substrate 200 and subsequently filling the trench with deposited oxide and planarizing.
[0013] As illustrated in Figure 2b, a hard mask 220 is formed over the gate electrode 210 to protect the gate electrode 210 during subsequent implantation processes. A dopant 230 is implanted into the substrate 200 to form the source- drain tip regions 225. For a PMOS transistor the dopant 230 will be a p-type dopant such as boron or gallium, and the substrate 200 will be an n-type well in this region between the isolation regions 215. For an NMOS transistor the dopant 230 will be an n-type dopant such as phosphorous or arsenic, and the substrate 200 will be a p-type well in this region between the isolation regions 215. The source/drain tip implant regions 225 may have a depth of less than approximately 10 nm and a width spanning the region between the gate electrode 210 and the isolation regions 215.
[0014] Figure 2c illustrates an embodiment where recesses 235 are etched into the substrate. Sidewall spacers 240 are formed before etching the recesses 235 by a conventional process well known to those skilled in the art. The substrate is then etched to form the recesses 235. The recesses 235 may be etched by an anisotropic plasma etch using compounds such as gaseous Cl2, SF6, or HBr that will etch through the source/drain tip implant regions 225 and the substrate 200. The recesses 235 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm. [0015] An ionic species 245 may then be implanted into the bottom of the recesses 235 in the substrate 200 as illustrated in Figure 2d to form the amoφhous etch stop region 250. Prior to implanting the ionic species 245 a hard mask 325 is formed over the gate electrode 310 to protect the gate electrode during the implantation. The amoφhous etch stop region 250 is formed by disrupting the bonds of the substrate 200 with the implant process. The bonds of the substrate 200 are disrupted to the extent that they will create an etch stop region for a wet anisotropic etchant. The parameters involved in disrupting the bonds of the substrate 200 include the accelerating energy, ionic radius, and mass of the ionic species 245. Almost any low energy condition for which the implant is not reflected from the surface of the substrate 200 will disrupt the bonds of the substrate 200 and form an amoφhous region such as the amoφhous etch stop region 250. The ionic species 245 may be implanted into the bottom of the recesses 235 in a dose of approximately between 5 x e14 atoms/cm2 and 1 x e15 atoms/cm2, and at an implant energy within the approximate range of 1 KeV and 20 KeV to form a concentration of the ionic species 245 within the amoφhous etch stop region 250 of approximately 1 x e21 atoms/cm3. The implant energy may be dependent on the ionic species 245 being implanted and in one embodiment the implant energy is as low as possible to avoid unnecessary damage to the substrate 200. The ionic species 245 may be implanted to a depth of up to approximately 50 nm or maybe deposited onto the surface of the bottom of the recesses 235.
[0016] The ionic species 245 is an element that is electrically neutral within the substrate so that it will not interfere electrically with devices in or on the substrate 200 if it diffuses within the substrate. In one embodiment the ionic species 245 that is electrically neutral within the substrate 200 may be an element that is the same as the elements forming the substrate. In this embodiment the element implanted into a silicon substrate 200 maybe silicon, or alternatively, the element implanted into a germanium substrate 200 may be germanium. In alternate embodiments, the ionic species 245 that is electrically neutral within the substrate may be an element that has a low solubility in the substrate 200 and thus may not substitute for atoms within the crystal lattice of the substrate. Elements that may be electrically neutral within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon. The covalent radius of silicon is approximately 111 picometers (pm), so the elements that may be electrically neutral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm. Elements with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically neutral within silicon. Ionic species 245 that fit the ionic radii criteria for a silicon substrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra). The ionic species 245 may be one type of element, or a combination of elements.
[0017] Figures 2e and 2f illustrate an alternate embodiment where instead of first etching recesses 235 as illustrated in Figure 2c and subsequently implanting the bottoms of the recesses 235 with an ionic species 245 as illustrated in Figure 2d, the ionic species 245 is implanted into the substrate 200 before etching recesses 235 into the substrate 200. In Figure 2e, the ionic species 245 may be implanted into the subsfrate 200 to form the amoφhous etch stop region 250. The ionic species 245 maybe implanted into the substrate 200 in a dose of between 1 x e15 atoms/cm2 and 1 x e16 atoms/cm2 and at an implant energy within the approximate range of 10 KeV and 40 KeV. The implant energy may be dependent on the implant material 245 being implanted and the implant energy may be as low as possible to avoid damage to the substrate 200. The ionic species 245 may be implanted to a depth slightly exceeding the depth of the recesses 235 to form the amoφhous etch stop region 250 of Figure 2e. As described above in relation to Figures 2c and 2d, the ionic species 245 is a material that is electrically neutral within the substrate 200 and may be any of the particular elements described above. As illustrated in Figure 2f, recesses 235 may then be etched in the substrate 200 through the upper portion of the amoφhous etch stop region 250 by an anisotropic plasma etch with a compound such as Cl2, SF6 or HBr. The amoφhous etch stop region 250 does not act as an etch stop for the anisotropic plasma etch, but will act as an etch stop for an anisotropic wet etch because it acts as a mask to protect the substrate surface, as will be described below. The recesses 235 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm. [0018] In Figure 2g, the substrate 200 is etched with an anisotropic wet etch to form an etched undercut region 260 having shaφ diagonal faceting 265 along the [111] crystal plane. The anisotropic wet etch stops etching on the amoφhous etch stop region 250, so that the bottom of the trench is flat. The side wall spacers 240, the source/drain tip implant regions 225, and the STI isolation regions 215 will not be etched by the anisotropic wet etch. The anisotropic wet etch may be an alkaline wet etch having a pH of approximately 10 or higher. The wet etch maybe formulated of an aqueous solution containing a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NE OH (ammonia hydroxide), or TMAH (teframethylammoma hydroxide). For example, a solution of 30% NH4OH by weight may be mixed with water to form an anisotropic etch solution 270 having a concentration of NEUOH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NEUOH by weight percent in the approximate range of 3% - 30%. In order to control the rate of the anisotropic wet etch, the etch maybe performed at approximately room temperature. To increase the etch rate the temperature may be increased. The temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C, and more particularly approximately 24°C. The etch rate may be in the approximate range of 10 nm/minute and 100 nm/minute. An oxidizing agent is not included in the etch solution because it may oxidize the subsfrate 200 and stop the anisofropic etching such that the strong faceting 260 along the [111] crystal plane may not occur. The subsfrate 200 may be etched for a time in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the more lateral undercutting may result. The distance that the undercut regions 260 are laterally etched may be the width of the side wall spacers 240, as illustrated in Figure 2g. Therefore the width of the undercut regions may be in the approximate range of 5 nm - 100 nm, and more particularly in the approximate range of 10 nm - 30 nm. [0019] As illustrated in Figure 2h the undercut regions 260 may be extended under the gate electrode 210 to a distance in the approximate range of 10% to 20% of the width of the gate 210. The source/drain tip implant regions 225 affect the geometry of the undercut regions 260 because the subsfrate 200 is etched up to the bottoms of the source/drain tip implant regions 225. Therefore, the undercut regions 260, as they extend beyond the source/drain tip implant regions 225 and under the gate electrode 210 will leave a distance 270 of the substrate 200 between the undercut regions 260 and the gate dielectric 205. This may prevent the undercut regions 260 from being etched too close to the gate electrode. The undercut regions 260 under the gate electrode 210 may be extended tip source/drain regions of the transistor after backfilling the recesses 235 and the undercut regions 260 with a doped semiconductor backfill material 275, such as epitaxial silicon germanium, as illustrated in Figure 2i. As illustrated in Figure 2j, the amoφhous etch stop region 250 may be recrystallized during the backfill process of the backfill material 275 due to the temperature of the back fill process. The temperature of the subsfrate 200 may reach temperatures in the range of approximately 600°C and 650°C during the backfill process. In an embodiment where the implant material 245 is the same element as the substrate 200, the recrystallization of the amoφhous etch stop region 250 will make that region similar to the rest of the substrate 200. Figure 2j illustrates a transistor 280 having source/drain regions 285 and extended tip source/drain regions 290 formed by the doped semiconductor backfill material 275 deposited in the areas formed by the etching process described above. [0020] In an alternate embodiment illustrated in Figure 3a - 3g, a transistor fabricated using an amoφhous etch stop region maybe formed without source/drain implant regions so that the undercut region of the anisotropic wet etch forms a shaφ etch geometry underneath the sidewall spacers of the transistor. A substrate 300 is provided in Figure 3a. The substrate 300 may be a single crystal or polycrystalline semiconductor material such as silicon or germanium. In Figure 3a a single crystal silicon substrate 200 is illustrated having a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes. The subsfrate 300 may contain both p-type and n-type wells depending on whether a PMOS or NMOS transistor is formed. The area of the substrate illustrated in Figure 3 a may be a p-type doped with a p-type dopant such as boron or gallium, or alternatively may be an n-type well doped with an n-type dopant such as phosphorous or arsenic. A gate dielectric 305 is formed on the substrate 300. The gate dielectric 305 maybe a material such as a nitrided oxide layer. A gate electrode 310 is formed above the gate dielectric 305. The gate electrode 310 may be formed by the blanket deposition of a polysilicon layer and the subsequent patterning of the polysilicon layer into the gate electrode 310. Isolation regions 315 are formed in the subsfrate 300 to separate n-type wells from p-type wells, and to therefore isolate adjacent transistors. The field isolation regions 315 may be, for example, shallow french isolation (STI) regions formed by etching a french into the substrate 300 and subsequently filling the french with deposited oxide. [0021] As illustrated in Figure 3b, a pair of side wall spacers 320 are formed on either side of the gate electrode 310 by conventional methods known to one of skill in the art. Additionally, a hard mask 325 may be formed on the gate electrode 310. Figure 3c illusfrates an embodiment where recesses 330 are etched into the subsfrate 300 before implanting the substrate 300 with an implant material 335. The recesses 330 may be etched by an anisotropic plasma etch using compounds such as gaseous Cl2, SF6, or HBr. The recesses 330 may have a width in the approximate range of 40 nm and 1000 nm, and a depth in the approximate range of 40 nm and 200 nm.
[0022] An ionic species 335 may then be implanted into the bottom of the recesses 330 in the substrate 300 as illustrated in Figure 3d to form the amoφhous etch stop region 340. The amoφhous etch stop region 340 is formed by disrupting the bonds of the substrate 300 with the implant process. The bonds of the substrate 300 are disrupted to the extent that they will create an etch stop region for a wet anisotropic etchant. The parameters involved in disrupting the bonds of the substrate 300 include the accelerating energy, ionic radius, and mass of the ionic species 335. Almost any low energy condition for which the implant isn't reflected from the surface of the subsfrate 300 will disrupt the bonds of the subsfrate 300 and form an amoφhous region such as the amoφhous etch stop region 340. The ionic species 335 maybe implanted into the bottom of the recesses 330 in a dose of approximately between 5 x e14 atoms/cm2 and 1 x e15 atoms/cm2, and at an implant energy within the approximate range of 1 KeV and 20 KeV to form a concentration of the ionic species 335 within the amoφhous etch stop region 340 of approximately 1 x e21 atoms/cm3. The implant energy may be dependent on the ionic species 335 being implanted and in one embodiment the implant energy is as low as possible to avoid unnecessary damage to the substrate 300. The ionic species 335 may be implanted to a depth of up to approximately 50 nm or may be deposited onto the surface of the bottom of the recesses 330.
[0023] The ionic species 335 is an element that is electrically neufral within the substrate so that it will not interfere electrically with devices in or on the substrate 300 if it diffuses within the substrate. In one embodiment the ionic species 335 that is electrically neufral within the subsfrate 300 maybe an element that is the same as the elements forming the substrate. In this embodiment the element implanted into a silicon substrate 300 maybe silicon, or alternatively, the element implanted into a germanium subsfrate 300 may be germanium. In alternate embodiments, the ionic species 335 that is electrically neutral within the substrate may be an element that has a low solubility in the subsfrate 300 and thus may not substitute for atoms within the crystal lattice of the substrate. Elements that may be electrically neufral within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon. The covalent radius of silicon is approximately 111 picometers (pm), so the elements that may be electrically neufral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm. Elements with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically neufral within silicon. Ionic species 335 that fit the ionic radii criteria for a silicon subsfrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra). The ionic species 335 may be one type of element, or a combination of elements. In an alternate embodiment, the ionic species 335 may be implanted into the substrate 300 before etching the recesses 330, as described above in relation to Figures 2e and 2f.
[0024] In Figure 3e, the subsfrate 300 is etched with an anisofropic wet etch to form an etched undercut region 345 having shaφ diagonal faceting 350 along the [111] crystal plane. The shaφ diagonal faceting 350 occurs because there is no oxidizer in the anisotropic wet etch. The anisofropic wet etch stops etching on the amoφhous etch stop region 340, so that the bottom of the trench is flat. The amoφhous etch stop region 340 acts as a mask to protect the subsfrate surface. The side wall spacers 320 and the STI isolation regions 315 will not be etched by the anisofropic wet etch. The anisofropic wet etch maybe an alkaline wet etch having a pH of approximately 10 or higher. The wet etch may be formulated of an aqueous solution containing a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NH OH (ammonia hydroxide), or TMAH (teframethylammonia hydroxide). For example, a solution of 30% NFJ OH by weight may be mixed with water to form an anisotropic etch solution 270 having a concentration of NH4OH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NH4OH by weight percent in the approximate range of 3% - 30%. In order to control the rate of the anisofropic wet etch, the etch may be performed at approximately room temperature. To increase the etch rate the temperature may be increased. The temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C, and more particularly approximately 24°C. The etch rate maybe in the approximate range of 10 nm/minute and 100 nm/minute. The subsfrate 300 may be etched for a time sufficient to form the undercut region 345 under the sidewall spacers 320, but not for so long as to etch under the gate dielectric 305. hi one embodiment, the etch time is in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the more lateral undercutting may result. The distance that the undercut regions 345 are laterally etched may be the width of the side wall spacers 320, as illustrated in Figure 3e. Therefore the width of the undercut regions may be in the approximate range of 5 nm - 100 nm, and more particularly in the approximate range of 10 nm - 30 nm. [0025] In Figure 3f, the recesses 330 and the undercut regions 345 are backfilled with a doped semiconductor backfill material 355. During the backfilling process the substrate 300 may reach temperatures in the range of approximately 600°C and 650°C. With these temperatures, the amoφhous etch stop region 340 maybe recrystallized. In an embodiment where the ionic species 335 is the same element as the substrate 300, the recrystallization of the amoφhous etch stop region 340 will make that region indistinguishable from the rest of the subsfrate 300 as illustrated in Figure 3g. Figure 3g illusfrates a transistor having source/drain regions 360 and source/drain tip regions 365 formed by the doped semiconductor backfill material 355 deposited in the areas formed by the etching process described above. The source/drain tip regions 365 have shaφ corners and are formed right along the bottom edge of the sidewall spacers 320. This geometry provides for maximum doping of the source/drain dip regions right up to the gate dielectric 305 and the gate dielectric 310 and may cause better device performance than source/drain regions having rounded edges. [0026] In another embodiment, the amoφhous etch stop regions may be used as a mask for positive relief features where the amoφhous etch stop regions are shallow and near the surface of a subsfrate. As illustrated in Figure 4a - 4d, a cantilever may be formed using an amoφhous etch stop layer as a mask and a wet anisotropic etch on a single crystal subsfrate. The subsfrate may be a single crystal or polycrystalline semiconductor material such as silicon or germanium. In one particular embodiment, the semiconductor material is a single crystal silicon subsfrate. In Figure 4a a single crystal silicon subsfrate 400 is provided, the single crystal silicon substrate 400 has a [100] crystal orientation in the y plane, a [110] orientation in the x plane, and a [111] crystal orientation in the plane diagonal to the x and y planes. A patterned photoresist mask 410 is formed on the subsfrate 400. The photoresist mask may be patterned by developing the photoresist. A top view of the subsfrate 400 and the photoresist mask 410, and a cross-sectional view of the same subsfrate 400 and photoresist mask 410 along the dotted lines I to I, are illustrated. As illustrated in the top view, the photoresist mask 410 has a square "U-shaped" pattern. The photoresist mask 410 may be a material such as silicon oxide or silicon nitride. The thickness of the photoresist mask 410 should be such that it is thick enough to block the penetration of the subsfrate 400 by the ionic species 420 implanted into the subsfrate 400. The thickness of the photoresist mask 410 is dependent on the implant energy, but generally the photoresist mask 410 may have a thickness of less than approximately 10 nm. In Figure 4b the single crystal silicon substrate 400 may be implanted with ionic species 420 to form the amoφhous regions 430. The ionic species 420 may be an element that is the same as the elements forming the substrate. In one embodiment the element implanted into the silicon substrate 400 may be silicon, and in another embodiment, the element implanted into a germanium subsfrate 400 may be germanium. In alternate embodiments, the element that is implanted into the substrate to form the amoφhous region may be an element that is electrically neufral within the subsfrate. Elements that are electrically neutral within the subsfrate may be those elements that have a low solubility in the substrate and thus may not substitute for atoms within the crystal lattice of the substrate. Elements that maybe electrically neufral within silicon are those that have an ionic radius greater than 1.2 times the size of the covalent radius of silicon and those that have an ionic radius less than 0.7 times the size of the covalent radius of silicon. The covalent radius of silicon is approximately 111 picometers (pm), so the elements that maybe electrically neutral within silicon may be those with an ionic radius greater than 130 pm and those with an ionic radius less than 80 pm. Ionic species 420 with these particular ionic radii may not substitute for atoms in the silicon crystal lattice and have very low solubility within silicon, thus making such elements electrically neutral within silicon. Ionic species 420 that fit the ionic radii criteria for a silicon subsfrate include, for example, oxygen, nitrogen, the noble elements (Ne, Ar, Kr, etc.), the alkaline metals of column I of the periodic table (H, Li, Na, K, Rb, Cs, Fr), and the alkaline earths of column II of the periodic table (Be, Mg, Ca, Sr, Ba, Ra). The ionic species 420 may be one type of element, or a combination or elements. The ionic species 420 may be implanted into the substrate 400 in a dose of between 1 x e15 atoms/cm2 and 1 x e16 atoms/cm2 and at an implant energy within the approximate range of 1 KeV and 20 KeV, and more particularly approximately 5 KeV to form a concentration of the ionic species 420 within the substrate 400 of approximately 1 x e atoms/cm . The implant energy may be dependent on the ionic species 420 being implanted and the implant energy may be as low as possible to avoid damage to the subsfrate 400. The ionic species 420 may be implanted to a depth in the approximate range of zero nanometers (on the surface only) and 50 nm.
[0027] The photoresist mask 410 may then be removed as illusfrated in Figure 4c to expose the non-implanted regions of the single crystal silicon substrate 400. The non-implanted regions of the substrate 400 reflect the "U-shaped" area of the photoresist mask 410 before it was removed and may have the same dimensions. In Figure 4d the single crystal silicon substrate 400 is etched with an anisofropic wet etch solution and the amoφhous regions 430 will act as a hard mask. The anisotropic wet etch solution may be an alkaline wet etch having a pH above approximately 10. The anisotropic wet etch solution may be formed with a base such as KOH (potassium hydroxide), NaOH (sodium hydroxide), NH4OH (ammonia hydroxide), or TMAH (teframethylammonia hydroxide). For example, a solution of 30% NH4OH by volume may be mixed with water to form an anisotropic wet etch solution having a concentration of NH4OH by volume percent in the approximate range of 10% - 100%, or more particularly a concentration of NH OH by weight percent in the approximate range of 3% - 30%. hi order to control the rate of the anisotropic wet etch, the etch may be performed at approximately room temperature. To increase the etch rate the temperature of the anisotropic wet etch solution may be increased. The temperature range within which the anisotropic wet etch may be performed is approximately between 15°C and 80°C and more particularly approximately 24°C. The etch rate may be in the approximate range of 10 nm/minutes and 100 nm/minutes. The substrate 400 may be etched for a time in the approximate range of 1 minute and 10 minutes. The longer the time of the etch, the larger the dimensions of the recess 450. An oxidizing agent may not be included in the anisofropic wet etch solution because if the subsfrate 400 is oxidized the etching may stop and the strong faceting 460 along the [111] crystal plane may not occur. This is particularly true of substrates such as silicon. The anisotropic wet etch solution may etch along the [111] diagonal crystal planes 460, and along the [100] vertical crystal plane to form the cantilever 475. In its final form, the cantilever 475 may be shaped like a diving board protruding out over the recess 450. The cantilever 475 maybe formed due to the lateral undercutting of the amoφhous regions 430 that forms the core of the cantilever 475 by the anisofropic wet etch. The flat portions 470 of the recess 450 are formed due to the geometry of the anisofropic wet etch solution along the horizontal [110] crystal planes of the single crystal silicon subsfrate 400. The use of a square "mask" formed by the amoφhous regions 430 leads to the formation of the flat portions 470 of the recess 450. The recess 450 may have an aspect ratio in the approximate range of 1 : 1 and 1:5. The cantilever 475 may be used as a pressure or accellerometer. [0028] Several embodiments of the invention have thus been described. However, those of ordinary skill in the art will recognize that the invention is not limited to the embodiments described, but can be practiced with modification and alteration within the scope and spirit of the appended claims that follow.

Claims

IN THE CLAIMS We claim:
1. A method, comprising: etching a recess into a substrate, the recess having a bottom; implanting an ionized species into the bottom of the recess to form an amoφhous etch stop region, the ionized species being electrically neutral within the substrate; and etching the subsfrate with an anisotropic wet etch.
2. The method of claim 1, wherein the ionized species is an element that is the same as the substrate.
3. The method of claim 2, wherein the substrate is silicon and wherein the element is silicon.
4. The method of claim 1, wherein the ionized species has a low solubility in the subsfrate.
5. The method of claim 4, wherein the ionized species has an ionic radius greater than 130 pm or an ionic radius less than 80 pm.
6. The method of claim 4, wherein the ionized species is a noble element.
7. The method of claim 1, wherein the substrate is a single crystal with a vertical [100] crystal plane, a horizontal [110] crystal plane, and diagonal [111] crystal plane and wherein etching the single crystal with the alkaline anisotropic wet etch causes faceting along the [111] crystal plane relative to the [100] crystal plane.
8. The method of claim 7, wherein the alkaline anisofropic wet etch solution has a pH of approximately 10 or higher.
9. The method of claim 7, wherein the alkaline anisotropic wet etch does not include an oxidizer.
10. The method of claim 1, wherein implanting an element into a substrate to form an amoφhous etch stop region comprises a dose of the element in the approximate range of 5 x e14 atoms/cm2 and 1 x e15 atoms/cm2.
11. The method of claim 1, wherein implanting an ionized species into a substrate to form an amoφhous etch stop region comprises an implant energy within the approximate range of 1 KeV and 20 KeV.
12. The method of claim 1, wherein etching the recess into the subsfrate comprises an anisotropic dry plasma etch.
13. A method comprising: implanting an ionized species into a subsfrate to form an amoφhous etch stop region, the ionized species being electrically neufral within the subsfrate; etching a recess into a subsfrate; and etching the subsfrate with an anisofropic wet etch.
14. The method of claim 13, wherein implanting the recess with the ionized species comprises a dose of the ionized species in the approximate range of e15 atoms/cm2 and 1 x e16 atoms/cm2.
15. The method of claim 13, wherein implanting the recess with the ionized species comprises an implant energy within the approximate range of 10 KeV and 40 KeV.
16. A method comprising: forming a gate and a pair of sidewall spacers on either side of the gate above a single-crystal sihcon substrate having a vertical [100] crystal plane, a horizontal [110] crystal plane, and a diagonal [111] crystal plane; etching a recess in the single-crystal silicon subsfrate along the vertical [100] crystal plane with an anisotropic dry plasma etch; implanting silicon into the bottom of the recess to form an amoφhous etch stop; etching the recess along the diagonal [111] crystal plane with an anisofropic wet etch having a pH of at least approximately 10 and no oxidizer; and filling the recess with an electronically doped silicon germanium material to form a source/drain region.
17. The method of claim 16, further comprising a source/drain tip implant region under the sidewall spacers.
18. The method of claim 16, further comprising a shallow trench isolation region comprising an oxide and wherein the anisotropic wet etch does not etch the shallow french isolation region or a hardmask protecting the gate.
19. The method of claim 16, wherein filling the recess with an electronically doped silicon germanium material forms an epitaxial source/drain tip extension region underneath the gate.
20. A method comprising: providing a subsfrate having a crystal lattice; and disrupting the crystal lattice of the substrate with an ionized species that is electrically neufral within the substrate to form an etch stop region.
21. The method of claim 20, wherein disrupting the crystal lattice of the substrate comprises disrupting chemical bonds within a crystal plane of the crystal lattice.
22. The method of claim 20; wherein disrupting the crystal lattice comprises a combination of acceleration energy, ionic radius, and mass of the element that is sufficient to disrupt chemical bonds of the crystal lattice.
23. A structure comprising: a substrate having a plurality of vertical [100] crystal planes, a plurality of horizontal [110] crystal planes, and a plurality of diagonal [111] crystal planes, the subsfrate having a recess shaped as an inverse truncated pyramid having four walls along four diagonal [111] planes and a flat bottom along a horizontal [110] plane; and an amoφhous etch stop region containing an electrically neufral element within the subsfrate in the flat bottom of the recess, wherein the amoφhous etch stop region acts as a mask to protect the substrate surface.
24. The structure of claim 23, wherein the substrate is single-crystal silicon.
25. The structure of claim 24, wherein the element is silicon.
26. The structure of claim 23, wherein the recess has an aspect ratio within the approximate range of 1:1 and 1:5.
27. The structure of claim 23, further comprising a cantilever protruding out over the recess.
28. A transistor, comprising: a crystalline semiconductor substrate having a plurality of vertical [100] crystal planes, a plurality of horizontal [110] crystal planes, and a plurality of diagonal [111] crystal planes; a gate electrode formed above the crystalline semiconductor subsfrate; a pair of sidewall spacers, one on each side of the gate electrode; and a pair of source/drain regions, one source/drain region under each of the sidewall spacers and wherein the source/drain regions are defined by the bottom of the spacers and by the diagonal [111] crystal planes.
29. The structure of claim 28, wherein the pair of source/drain regions extend beneath the pair of sidewall spacers by a distance of up to the width of one of the pair of sidewall spacers.
30. The structure of claim 28, wherein the pair of source/drain regions extend under the gate elecfrode by a distance in the approximate range of 10% and 20% of the width of the gate elecfrode.
PCT/US2004/043671 2003-12-30 2004-12-23 An amorphous etch stop for the anisotropic etching of substrates WO2005067021A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE112004002611T DE112004002611B4 (en) 2003-12-30 2004-12-23 Amorphous etch stop for anisotropic etching of substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/750,054 US7045407B2 (en) 2003-12-30 2003-12-30 Amorphous etch stop for the anisotropic etching of substrates
US10/750,054 2003-12-30

Publications (1)

Publication Number Publication Date
WO2005067021A1 true WO2005067021A1 (en) 2005-07-21

Family

ID=34711193

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/043671 WO2005067021A1 (en) 2003-12-30 2004-12-23 An amorphous etch stop for the anisotropic etching of substrates

Country Status (6)

Country Link
US (1) US7045407B2 (en)
KR (1) KR100810774B1 (en)
CN (1) CN100499028C (en)
DE (1) DE112004002611B4 (en)
TW (1) TWI250576B (en)
WO (1) WO2005067021A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187978B2 (en) 2007-07-27 2012-05-29 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and semiconductor device

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100642747B1 (en) * 2004-06-22 2006-11-10 삼성전자주식회사 Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
JP4837902B2 (en) 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 Semiconductor device
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7060579B2 (en) * 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP5203558B2 (en) * 2004-08-20 2013-06-05 三星電子株式会社 Transistor and manufacturing method thereof
KR100547934B1 (en) * 2004-08-20 2006-01-31 삼성전자주식회사 Transistor and method of manufacturing the same
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20090206394A1 (en) * 2005-04-01 2009-08-20 Daniel Chanemougame Strained Channel PMOS Transistor and Corresponding Production Method
US8536037B2 (en) * 2005-04-06 2013-09-17 Bioscale, Inc. Electrically responsive device
US9164051B2 (en) 2005-04-06 2015-10-20 Bioscale, Inc. Electrically responsive device
US7648844B2 (en) 2005-05-02 2010-01-19 Bioscale, Inc. Method and apparatus for detection of analyte using an acoustic device
US7749445B2 (en) 2005-05-02 2010-07-06 Bioscale, Inc. Method and apparatus for analyzing bioprocess fluids
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7659172B2 (en) * 2005-11-18 2010-02-09 International Business Machines Corporation Structure and method for reducing miller capacitance in field effect transistors
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7303999B1 (en) * 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7494856B2 (en) * 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7528072B2 (en) * 2006-04-20 2009-05-05 Texas Instruments Incorporated Crystallographic preferential etch to define a recessed-region for epitaxial growth
EP2010450A2 (en) * 2006-04-21 2009-01-07 Bioscale, Inc. Microfabricated devices and method for fabricating microfabricated devices
US7709341B2 (en) * 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7628932B2 (en) * 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7625776B2 (en) * 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7445973B2 (en) * 2006-09-29 2008-11-04 Micron Technology, Inc. Transistor surround gate structure with silicon-on-insulator isolation for memory cells, memory arrays, memory devices and systems and methods of forming same
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080121042A1 (en) * 2006-11-27 2008-05-29 Bioscale, Inc. Fluid paths in etchable materials
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
US7919800B2 (en) 2007-02-26 2011-04-05 Micron Technology, Inc. Capacitor-less memory cells and cell arrays
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7691752B2 (en) * 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US7553717B2 (en) * 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
US20090035911A1 (en) * 2007-07-30 2009-02-05 Willy Rachmady Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
WO2009033056A1 (en) 2007-09-06 2009-03-12 Bioscale, Inc. Reusable detection surfaces and methods of using same
US7964910B2 (en) * 2007-10-17 2011-06-21 International Business Machines Corporation Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure
US7851313B1 (en) * 2007-11-09 2010-12-14 Xilinx, Inc. Semiconductor device and process for improved etch control of strained silicon alloy trenches
DE102008011932B4 (en) * 2008-02-29 2010-05-12 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the penetration depth of drain and source implant varieties for a given gate height
CN101593702B (en) * 2008-05-30 2010-12-22 中芯国际集成电路制造(北京)有限公司 Manufacturing method of stress metal oxide semiconductor device
US20090302348A1 (en) * 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
DE102008049733B3 (en) * 2008-09-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Transistor with embedded Si / Ge material closer to the channel region and method of making the transistor
DE102008049723B4 (en) * 2008-09-30 2012-01-26 Advanced Micro Devices, Inc. Transistor with embedded Si / Ge material with better substrate-spanning uniformity
US20100109045A1 (en) * 2008-10-30 2010-05-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress-engineered layers
US7977180B2 (en) * 2008-12-08 2011-07-12 GlobalFoundries, Inc. Methods for fabricating stressed MOS devices
DE102009010882B4 (en) * 2009-02-27 2012-04-19 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A transistor having an embedded semiconductor alloy in drain and source regions extending below the gate electrode and methods of fabricating the transistor
KR101354844B1 (en) * 2009-07-08 2014-01-22 가부시끼가이샤 도시바 Semiconductor device and method for manufacturing the semiconductor device
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
US8455859B2 (en) 2009-10-01 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device
KR101096548B1 (en) * 2009-11-06 2011-12-20 주식회사 비에스이 Mems microphone and manufacturing method of the same
US8343872B2 (en) 2009-11-06 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained structures with compound profiles in semiconductor devices
KR101315473B1 (en) * 2009-12-07 2013-10-04 성균관대학교산학협력단 transferred thin film transistor and method for manufacturing at the same
US8765556B2 (en) * 2009-12-23 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating strained structure in semiconductor device
US8278164B2 (en) * 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
KR101668097B1 (en) * 2010-03-12 2016-10-24 삼성전자주식회사 Semiconductor dievices having a field effect transistor and methods of forming the same
DE102010029532B4 (en) * 2010-05-31 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A transistor with embedded strain-inducing material fabricated in diamond-shaped recesses based on pre-amorphization
KR101703096B1 (en) * 2010-09-02 2017-02-07 삼성전자 주식회사 Method of fabricating semiconductor device
CN102443758A (en) * 2010-10-06 2012-05-09 鸿富锦精密工业(深圳)有限公司 Film coating piece and preparation method thereof
US8501570B2 (en) * 2010-10-20 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing source/drain structures
CN102487008A (en) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method for forming the same
DE102010063772B4 (en) * 2010-12-21 2016-02-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of embedding a sigma-shaped semiconductor alloy in transistors by applying a uniform oxide layer prior to etching the recesses
KR20120073727A (en) * 2010-12-27 2012-07-05 삼성전자주식회사 Semiconductor devices including strained semiconductor regions and methods of fabricating the same, and an electronic system including the same
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
KR20120108338A (en) 2011-03-23 2012-10-05 삼성전자주식회사 Methods for fabricating semiconducor devices
DE102011076695B4 (en) * 2011-05-30 2013-05-08 Globalfoundries Inc. Embedded strain inducing material transistors formed in cavities formed by an oxidation etch process
CN102810513B (en) * 2011-05-31 2014-09-24 中芯国际集成电路制造(上海)有限公司 Method for forming transistor
CN102810480B (en) * 2011-06-02 2016-01-06 中芯国际集成电路制造(北京)有限公司 The manufacture method of semiconductor device
CN102810482B (en) * 2011-06-02 2015-05-13 中芯国际集成电路制造(北京)有限公司 Method for manufacturing semiconductor devices
CN102810481B (en) * 2011-06-02 2016-03-30 中芯国际集成电路制造(北京)有限公司 The manufacture method of semiconductor device
US8450194B2 (en) * 2011-07-01 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Method to modify the shape of a cavity using angled implantation
WO2013009833A1 (en) 2011-07-11 2013-01-17 King Abdullah University Of Science And Technology Integrated circuit manufacturing for low-profile and flexible devices
WO2013025748A1 (en) * 2011-08-15 2013-02-21 King Abdullah University Of Science And Technology Method for producing mechanically flexible silicon substrate
US8999794B2 (en) * 2011-07-14 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned source and drain structures and method of manufacturing same
CN102881656B (en) * 2011-07-15 2015-06-17 中芯国际集成电路制造(北京)有限公司 Semiconductor device and fabrication method thereof
US8497180B2 (en) * 2011-08-05 2013-07-30 Globalfoundries Inc. Transistor with boot shaped source/drain regions
KR20130020221A (en) 2011-08-19 2013-02-27 삼성전자주식회사 Semiconductor dievices and methods of manufacturing the same
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
CN102969247B (en) * 2011-08-31 2015-06-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof, transistor and transistor forming method
CN103035709B (en) * 2011-09-30 2015-11-25 中国科学院微电子研究所 A kind of semiconductor structure and manufacture method thereof
US8476169B2 (en) * 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US20130095627A1 (en) * 2011-10-18 2013-04-18 Globalfoundries Inc. Methods of Forming Source/Drain Regions on Transistor Devices
US9263337B2 (en) * 2011-11-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
JP5848680B2 (en) * 2011-11-22 2016-01-27 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
CN103151264B (en) * 2011-12-06 2017-06-13 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
US20130175640A1 (en) * 2012-01-06 2013-07-11 Globalfoundries Inc. Stress enhanced mos transistor and methods for fabrication
US20130175585A1 (en) * 2012-01-11 2013-07-11 Globalfoundries Inc. Methods of Forming Faceted Stress-Inducing Stressors Proximate the Gate Structure of a Transistor
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
TWI562244B (en) * 2012-03-15 2016-12-11 United Microelectronics Corp Method for fabricating mos device
US9373684B2 (en) 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
CN103367151B (en) * 2012-03-30 2015-12-16 中国科学院微电子研究所 Make source/drain region closer to MOS device of channel region and preparation method thereof
US8841190B2 (en) 2012-03-30 2014-09-23 The Institute of Microelectronics Chinese Academy of Science MOS device for making the source/drain region closer to the channel region and method of manufacturing the same
US8674447B2 (en) 2012-04-27 2014-03-18 International Business Machines Corporation Transistor with improved sigma-shaped embedded stressor and method of formation
CN103545212B (en) * 2012-07-16 2016-09-21 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
US9190485B2 (en) 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
US9269804B2 (en) 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
CN103681327B (en) * 2012-09-06 2017-02-08 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and formation method thereof
KR102059526B1 (en) * 2012-11-22 2019-12-26 삼성전자주식회사 Method of forming semiconductor device having embedded stressor and related device
TWI643346B (en) 2012-11-22 2018-12-01 三星電子股份有限公司 Semiconductor devices including a stressor in a recess and methods of forming the same
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
CN104183493B (en) * 2013-05-21 2016-10-05 中芯国际集成电路制造(上海)有限公司 The manufacture method of PMOS transistor
FR2998090A1 (en) * 2013-06-26 2014-05-16 Commissariat Energie Atomique Method for structuring material surface of substrate for producing e.g. nanometric patterns on surface for LEDs, involves forming patterns due to difference between etch selectivity of material and changed etch selectivity of regions
US9012276B2 (en) * 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
US20150017774A1 (en) * 2013-07-10 2015-01-15 Globalfoundries Inc. Method of forming fins with recess shapes
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9059234B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Formation of a high aspect ratio trench in a semiconductor substrate and a bipolar semiconductor device having a high aspect ratio trench isolation region
CN103887341A (en) * 2014-03-20 2014-06-25 上海华力微电子有限公司 Method for preparing field effect transistor
CN103871902A (en) 2014-03-24 2014-06-18 上海华力微电子有限公司 Semiconductor treatment technology and semiconductor device preparation method
CN105097539B (en) * 2014-05-21 2020-06-09 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US9984917B2 (en) * 2014-05-21 2018-05-29 Infineon Technologies Ag Semiconductor device with an interconnect and a method for manufacturing thereof
KR102265687B1 (en) 2014-07-25 2021-06-18 삼성전자주식회사 Methods of manufacturing semiconductor dievices
CN105869991B (en) 2015-01-23 2018-05-11 上海华力微电子有限公司 Method and system for the uniformity for improving SiGe thickness
CN105990172B (en) 2015-01-30 2018-07-31 上海华力微电子有限公司 The design of embedded SiGe extension testings block
CN105990342B (en) 2015-02-13 2019-07-19 上海华力微电子有限公司 Semiconductor devices and its manufacturing process with the forming cavity for being embedded in germanium material
CN104851884A (en) 2015-04-14 2015-08-19 上海华力微电子有限公司 Forming chamber for germanium and silicon filling material
CN104821336B (en) 2015-04-20 2017-12-12 上海华力微电子有限公司 For improving the method and system of device surface uniformity using conformal packed layer
WO2016209220A1 (en) * 2015-06-24 2016-12-29 Intel Corporation Replacement channel etch for high quality interface
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
CN105097554B (en) 2015-08-24 2018-12-07 上海华力微电子有限公司 For reducing the method and system of the dislocation defects in high concentration epitaxy technique
CN106601681A (en) * 2015-10-20 2017-04-26 上海新昇半导体科技有限公司 Cmos structure and preparation method thereof
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
FR3044163B1 (en) 2015-11-25 2018-01-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR SELECTIVELY ENGRAVING SEMICONDUCTOR MATERIAL IN SOLUTION
US10332998B2 (en) 2015-12-24 2019-06-25 Intel Corporation Transistors with heteroepitaxial III-N source/drain
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
CN107275399B (en) 2016-04-06 2022-06-28 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
US9842933B1 (en) * 2016-06-13 2017-12-12 Globalfoundries Inc. Formation of bottom junction in vertical FET devices
US10147609B2 (en) 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
CN110164768B (en) * 2018-02-12 2022-02-11 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
KR20200140976A (en) 2019-06-07 2020-12-17 삼성전자주식회사 Semiconductor device
US11315829B2 (en) * 2019-08-26 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphous layers for reducing copper diffusion and method forming same
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram
US20230035288A1 (en) * 2021-07-31 2023-02-02 Applied Materials, Inc. Methods for removing etch stop layers

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1538650A (en) * 1976-11-19 1979-01-24 Tokyo Shibaura Electric Co Semiconductor device
US4397075A (en) * 1980-07-03 1983-08-09 International Business Machines Corporation FET Memory cell structure and process
EP0878835A1 (en) * 1992-10-23 1998-11-18 Ricoh Seiki Company, Ltd. Etching method for silicon substrate
SE513072C2 (en) * 1996-05-09 2000-07-03 Acreo Ab Making micro-mechanical components, e.g. accelerometers
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
WO2002034667A1 (en) * 2000-10-25 2002-05-02 University Of Maryland, College Park Method for microstructures fabrication
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6292361A (en) * 1985-10-17 1987-04-27 Toshiba Corp Complementary type semiconductor device
JP3761918B2 (en) * 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6060403A (en) * 1997-09-17 2000-05-09 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6784076B2 (en) * 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1538650A (en) * 1976-11-19 1979-01-24 Tokyo Shibaura Electric Co Semiconductor device
US4397075A (en) * 1980-07-03 1983-08-09 International Business Machines Corporation FET Memory cell structure and process
EP0878835A1 (en) * 1992-10-23 1998-11-18 Ricoh Seiki Company, Ltd. Etching method for silicon substrate
SE513072C2 (en) * 1996-05-09 2000-07-03 Acreo Ab Making micro-mechanical components, e.g. accelerometers
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
WO2002034667A1 (en) * 2000-10-25 2002-05-02 University Of Maryland, College Park Method for microstructures fabrication
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
FEIJOO D ET AL: "ETCH STOP BARRIERS IN SILICON PRODUCED BY ION IMPLANTATION OF ELECTRICALLY NON-ACTIVE SPECIES", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 139, no. 8, 1 August 1992 (1992-08-01), pages 2309 - 2314, XP000360681, ISSN: 0013-4651 *
SERRE C ET AL: "Synthesis of SiC microstructures in Si technology by high dose carbon implantation: etch-stop properties", JOURNAL OF THE ELECTROCHEMICAL SOCIETY ELECTROCHEM. SOC USA, vol. 144, no. 6, June 1997 (1997-06-01), pages 2211 - 2215, XP002332031, ISSN: 0013-4651 *
SODERBARG A: "INVESTIGATION OF BURIED ETCH STOP LAYER IN SILICON MADE BY NITROGEN IMPLANTATION", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 139, no. 2, 1 February 1992 (1992-02-01), pages 561 - 566, XP000334396, ISSN: 0013-4651 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187978B2 (en) 2007-07-27 2012-05-29 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and semiconductor device

Also Published As

Publication number Publication date
US7045407B2 (en) 2006-05-16
CN1902736A (en) 2007-01-24
KR100810774B1 (en) 2008-03-06
TW200522196A (en) 2005-07-01
CN100499028C (en) 2009-06-10
DE112004002611B4 (en) 2009-12-31
TWI250576B (en) 2006-03-01
KR20060105042A (en) 2006-10-09
DE112004002611T5 (en) 2006-11-09
US20050148147A1 (en) 2005-07-07

Similar Documents

Publication Publication Date Title
US7045407B2 (en) Amorphous etch stop for the anisotropic etching of substrates
US7625776B2 (en) Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
KR100487922B1 (en) A transistor of a semiconductor device and a method for forming the same
KR101618465B1 (en) Embedded transistor
US5358891A (en) Trench isolation with planar topography and method of fabrication
KR100787685B1 (en) Method of making a buried strap for a trench capacitor
US20070128776A1 (en) Isolated fully depleted silicon-on-insulator regions by selective etch
EP1124258A2 (en) Reduction of orientation dependent oxidation for vertical sidewalls of semiconductor substrates
US20020192888A1 (en) Surface engineering to prevent epi growth on gate poly during selective epi processing
KR100441585B1 (en) Semiconductor device
KR100497877B1 (en) Self-aligned ldd formation with one-step implantation for transistor formation
US6828209B1 (en) Methods for manufacturing a semiconductor device including a trench isolation region
US7208377B2 (en) Silicon oxidation method
KR20000019080A (en) Method for manufacturing mos transistors
CN109285876A (en) Semiconductor structure and forming method thereof
KR100290901B1 (en) Method for fabricating isolation film of semiconductor device
KR100493062B1 (en) Method for selectively patterning SiGe layer with ion implantation
KR100514516B1 (en) Method for fabricating dual gate insulator
KR100790443B1 (en) Method for manufacturing dram cell
KR20070116490A (en) Method of forming contact in semiconductor device
KR100382556B1 (en) Method for manufacturing isolation of semiconductor device
JPS62108576A (en) Manufacture of semiconductor device
KR20000041699A (en) Manufacturing method of mos transistor
JP2007048840A (en) Semiconductor device and manufacturing method thereof
KR930008517A (en) Device Separation Method of Semiconductor Memory Device

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480039534.X

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067013267

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1120040026113

Country of ref document: DE

WWP Wipo information: published in national office

Ref document number: 1020067013267

Country of ref document: KR

RET De translation (de og part 6b)

Ref document number: 112004002611

Country of ref document: DE

Date of ref document: 20061109

Kind code of ref document: P

WWE Wipo information: entry into national phase

Ref document number: 112004002611

Country of ref document: DE

122 Ep: pct application non-entry in european phase
REG Reference to national code

Ref country code: DE

Ref legal event code: 8607