WO2005068681A3 - Cleaning tantalum-containing deposits from process chamber components - Google Patents

Cleaning tantalum-containing deposits from process chamber components Download PDF

Info

Publication number
WO2005068681A3
WO2005068681A3 PCT/US2004/016518 US2004016518W WO2005068681A3 WO 2005068681 A3 WO2005068681 A3 WO 2005068681A3 US 2004016518 W US2004016518 W US 2004016518W WO 2005068681 A3 WO2005068681 A3 WO 2005068681A3
Authority
WO
WIPO (PCT)
Prior art keywords
cleaning
containing deposits
process chamber
tantalum
chamber components
Prior art date
Application number
PCT/US2004/016518
Other languages
French (fr)
Other versions
WO2005068681A2 (en
Inventor
Karl Brueckner
Hong Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/742,604 external-priority patent/US6902627B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to KR1020127000547A priority Critical patent/KR101164570B1/en
Priority to JP2006545314A priority patent/JP2007528938A/en
Priority to KR1020127000548A priority patent/KR101270192B1/en
Priority to KR1020127000546A priority patent/KR101223154B1/en
Publication of WO2005068681A2 publication Critical patent/WO2005068681A2/en
Publication of WO2005068681A3 publication Critical patent/WO2005068681A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B3/00Extraction of metal compounds from ores or concentrates by wet processes
    • C22B3/04Extraction of metal compounds from ores or concentrates by wet processes by leaching
    • C22B3/06Extraction of metal compounds from ores or concentrates by wet processes by leaching in inorganic acid solutions, e.g. with acids generated in situ; in inorganic salt solutions other than ammonium salt solutions
    • C22B3/065Nitric acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B34/00Obtaining refractory metals
    • C22B34/20Obtaining niobium, tantalum or vanadium
    • C22B34/24Obtaining niobium or tantalum
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B7/00Working up raw materials other than ores, e.g. scrap, to produce non-ferrous metals and compounds thereof; Methods of a general interest or applied to the winning of more than two metals
    • C22B7/006Wet processes
    • C22B7/007Wet processes by acid leaching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/46Regeneration of etching compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/08Iron or steel
    • C23G1/086Iron or steel solutions containing HF
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/19Iron or steel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/36Regeneration of waste pickling liquors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/20Recycling

Abstract

A method of cleaning tantalum-containing deposits from a surface of a process chamber component includes immersing the surface of the component in a cleaning solution having a ration of HF to HNO3 of from about 1:8 to about 1:30 by weight. In another version, the cleaning solution has a ration of KOH to H2O2 of from about 6:1 to about 10:1 by moles. In yet another version suitable for cleaning copper surfaces, the cleaning solution includes HF and an oxidizing agent in a molar ratio of HF to the oxidizing agent of at least about 6:1. The tantalum-containing deposits can be removed from the surface substantially without eroding the surface.
PCT/US2004/016518 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components WO2005068681A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020127000547A KR101164570B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components
JP2006545314A JP2007528938A (en) 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components
KR1020127000548A KR101270192B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components
KR1020127000546A KR101223154B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/742,604 2003-12-19
US10/742,604 US6902627B2 (en) 2002-11-25 2003-12-19 Cleaning chamber surfaces to recover metal-containing compounds
US10/846,894 US20050028838A1 (en) 2002-11-25 2004-05-13 Cleaning tantalum-containing deposits from process chamber components
US10/846,894 2004-05-13

Publications (2)

Publication Number Publication Date
WO2005068681A2 WO2005068681A2 (en) 2005-07-28
WO2005068681A3 true WO2005068681A3 (en) 2005-09-15

Family

ID=34798987

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/016518 WO2005068681A2 (en) 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components

Country Status (5)

Country Link
US (1) US20050028838A1 (en)
JP (1) JP2007528938A (en)
KR (4) KR101270192B1 (en)
TW (1) TWI304612B (en)
WO (1) WO2005068681A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090000641A1 (en) * 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
KR101722371B1 (en) * 2016-08-05 2017-04-05 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
KR101959760B1 (en) * 2017-02-23 2019-03-19 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
CN109371405A (en) * 2018-12-14 2019-02-22 惠州市四维化工有限公司 A kind of secondary chemical synthesizing method of lossless magnesium and magnesium alloy
US11371159B2 (en) * 2019-06-22 2022-06-28 Applied Materials, Inc. Methods of reducing or eliminating deposits after electrochemical plating in an electroplating processor

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
JPS63149396A (en) * 1986-12-12 1988-06-22 Kobe Steel Ltd Pre-treatment of anodic oxidation of valve metal
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
EP1049133A2 (en) * 1999-04-30 2000-11-02 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117833A (en) * 1958-09-25 1964-01-14 Fansteel Metallurgical Corp Process of purifying and separating columbium and tantalum values from each other
DK449074A (en) 1974-08-22 1976-02-23 Atomenergikommissionen PROCEDURE FOR EXTRACTING TANTALS AND / OR NIOB IN FREE OR BASED FORM FROM TANTAL AND NIOB CONTAINING SOLUTIONS
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
JPS58153782A (en) * 1982-03-08 1983-09-12 Hitachi Denshi Ltd Regeneration of boat made of tantalum
JPS61146717A (en) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd Purification of tantalum
JPH0353084A (en) * 1989-07-18 1991-03-07 Citizen Watch Co Ltd Etching solution of tantalum
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JP3581890B2 (en) * 1994-04-26 2004-10-27 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (en) * 1998-10-26 2000-06-12 G S G As Processing of niobium and tantalum-containing materials
JP2000265276A (en) * 1999-01-12 2000-09-26 Central Glass Co Ltd Cleaning gas
JP4709358B2 (en) * 2000-08-30 2011-06-22 株式会社東芝 Sputtering target and sputtering apparatus, thin film, and electronic component using the same
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
JP2002292346A (en) * 2001-03-29 2002-10-08 Sharp Corp Method and apparatus for recovering deposited film
JP2002363662A (en) * 2001-06-01 2002-12-18 Nikko Materials Co Ltd Method for recovery of high-purity tantalum, high-purity tantalum sputtering target, and thin film deposited by using this sputtering target
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6953120B2 (en) * 2002-02-08 2005-10-11 Cabot Corporation Method of recovering metal and/or oxide thereof in a slurry and tailings obtained from said method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
JPS63149396A (en) * 1986-12-12 1988-06-22 Kobe Steel Ltd Pre-treatment of anodic oxidation of valve metal
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
EP1049133A2 (en) * 1999-04-30 2000-11-02 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 012, no. 410 (C - 540) 28 October 1988 (1988-10-28) *

Also Published As

Publication number Publication date
KR20120016176A (en) 2012-02-22
US20050028838A1 (en) 2005-02-10
KR20120014234A (en) 2012-02-16
TWI304612B (en) 2008-12-21
JP2007528938A (en) 2007-10-18
TW200522186A (en) 2005-07-01
KR101270192B1 (en) 2013-05-30
KR101223154B1 (en) 2013-01-17
KR101164570B1 (en) 2012-07-10
WO2005068681A2 (en) 2005-07-28
KR20070026369A (en) 2007-03-08
KR20120016177A (en) 2012-02-22

Similar Documents

Publication Publication Date Title
WO2005068681A3 (en) Cleaning tantalum-containing deposits from process chamber components
EP0982765A3 (en) Cleaning method of semiconductor substrate
EP1661961A3 (en) Metal polishing composition and method of polishing using the same
TW200918664A (en) Wafer reclamation compositions and methods
CA2416327A1 (en) Low surface tension, alkaline hard surface cleaner containing an organoamine
WO2005098920A3 (en) Aqueous solution for removing post-etch residue
WO2006031269A3 (en) Method of formulating a cleaning composition for use in cleaning surfaces
HK1070916A1 (en) Cleaning agent for metal products
EP2011897A3 (en) Methods and apparatus for cleaning deposition chamber parts using selective spray etch
EP0993031A3 (en) Method of cleaning a via hole
MX2007002873A (en) Method and device for cleaning a metal strip.
CN104046977A (en) Magnesium alloy forming method
US20080153734A1 (en) Metal surface cleaner and method for cleaning metal parts
WO2004027840A3 (en) Process for etching silicon wafers
WO2003085174A3 (en) Device and method for removing surface areas of a component
PL313474A1 (en) Method of treating metals with acid cleaning solution containing ions of rare-earth elements
EP1480263A4 (en) Method of treating surface, semiconductor device, process for producing semiconductor device, and apparatus for treatment
WO2006088617A3 (en) Primary examiner
WO2002006422A3 (en) Compositions for controlling scaling and completion fluids
MXPA04003619A (en) Ultrasonic cleaning products comprising cleaning composition having dissolved gas.
WO2005010949A3 (en) Solution to thermal budget
CA2200587A1 (en) Stainless Steel Acid Treatment
WO2001079590A3 (en) Corrosion inhibitor
CA2482021A1 (en) Removal of adenine during a process of pathogen reducing blood and blood components
WO2005066325A3 (en) Cleaner compositions containing free radical quenchers

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006545314

Country of ref document: JP

Ref document number: 200480038034.4

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWE Wipo information: entry into national phase

Ref document number: 1020067014433

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 1020127000546

Country of ref document: KR

Ref document number: 1020127000547

Country of ref document: KR

Ref document number: 1020127000548

Country of ref document: KR