WO2005093780A2 - Rf plasma source with conductive top section - Google Patents

Rf plasma source with conductive top section Download PDF

Info

Publication number
WO2005093780A2
WO2005093780A2 PCT/US2005/008709 US2005008709W WO2005093780A2 WO 2005093780 A2 WO2005093780 A2 WO 2005093780A2 US 2005008709 W US2005008709 W US 2005008709W WO 2005093780 A2 WO2005093780 A2 WO 2005093780A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
section
plasma
radio frequency
clai
Prior art date
Application number
PCT/US2005/008709
Other languages
French (fr)
Other versions
WO2005093780A3 (en
Inventor
Vikram Singh
Harold Persing
Timothy Miller
Original Assignee
Varian Semiconductor Equipment Associates, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates, Inc. filed Critical Varian Semiconductor Equipment Associates, Inc.
Publication of WO2005093780A2 publication Critical patent/WO2005093780A2/en
Publication of WO2005093780A3 publication Critical patent/WO2005093780A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Definitions

  • Plasma sources are commonly used in the semiconductor industry and other industries for performing etching and deposition.
  • Plasma immersion systems immerse a substrate or target in a plasma for processing.
  • the substrate or target is biased with respect to the plasma potential in order to attract ions for processing.
  • Plasma immersion systems have been used for performing ion implantation of semiconductor wafers. Ions are not accelerated toward the wafer like in conventional ion implantation systems. Instead the wafer is immersed in a plasma containing dopant ions. The ion penetration depths can be very shallow. Therefore, plasma immersion systems can perform very shallow ion implantations that can be used for fabricating modern electronic and optical components.
  • One type of plasma immersion source uses a pulsed DC power supply to generate the plasma.
  • the DC power supply generates a voltage that creates a plasma discharge from a process gas in a chamber.
  • the DC voltage and secondary electrons generated from collisions with chamber surfaces and with the target sustain the plasma.
  • RF radio frequency
  • Other types of plasma immersion sources use a radio frequency (RF) source to generate the plasma.
  • the RF source generates a RF voltage.
  • the RF voltage generates and maintains the plasma by capacitively coupling RF energy from an electrode across the plasma sheath to electrons in the plasma.
  • Other types of plasma immersion sources use microwave power applicators to generate and maintain the plasma.
  • Plasma sources for ion implantation have more stringent requirements than plasma sources for other plasma processing applications, such as plasma etching and plasma deposition.
  • plasma immersion sources used for ion implantation must generate plasma with highly uniform plasmas ion flux in both the radial and the azimuthal direction so that uniform ion flux impinges on the wafer surface.
  • plasma immersion systems must dissipate the heat load and minimize charging effects that results from secondary electron emission from the wafer.
  • secondary electrons are accelerated away from the surface of the substrate at the implant voltage and the power carried by these electrons is deposited in the chamber top.
  • Conventional plasma immersion sources are used with chamber tops that are formed of insulating materials. The secondary electrons tend to heat and to charge the chamber tops, which can adversely affect ion energy uniformity and process repeatability.
  • FIG. 1 illustrates a RF plasma source having vertical and horizontal RF coils and a conductive top section according to the present invention.
  • FIG. 2 illustrates a RF plasma source having a first RF coil in a first direction, a second RF coil in a second direction, and a conductive top section according to the present invention.
  • FIG. 3 illustrates a RF plasma source having vertical and horizontal RF coils, a conductive top section, and an anode according to the present invention.
  • FIGS. 4A-C illustrate graphs of radial plasma density profiles for two different coil adjuster positions.
  • FIGS. 5A-B illustrate graphs of plasma uniformity and mean ion current as a function of chamber pressure for a constant RF power level.
  • a plasma source of the present invention provides a uniform ion flux and also dissipates the effects of secondary electrons. Some aspects of the plasma source of the present invention are described in connection with plasma doping for the purpose of illustrating the invention. However, it is understood that the plasma source of the present invention has many applications and is not limited to plasma immersion sources for plasma doping.
  • FIG. 1 illustrates a RF plasma source 1 00 having vertical and horizontal RF coils and a conductive top section according to the present invention.
  • the plasma source 1 00 includes a chamber 1 02 that contains a process gas.
  • a gas source 1 04 that is coupled to the chamber 1 02 through a proportional valve 1 06 supplies the process gas to the chamber 1 02.
  • a pressure gauge 1 08 measures the pressure inside the chamber 1 02.
  • An exhaust port 1 1 0 in the chamber 102 is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02.
  • An exhaust valve 1 1 4 controls the exhaust conductance through the exhaust port 1 1 0.
  • a gas pressure controller 1 16 is electrically connected to the proportional valve 1 06, the pressure gauge 1 08, and the exhaust valve 1 14.
  • the gas pressure controller 1 1 6 maintains the desired pressure in the chamber 1 02 by controlling the exhaust conductance with the exhaust valve 1 14 and controlling the process gas flow rate with the proportional valve 1 06 in a feedback loop that is responsive to the pressure gauge 1 08.
  • a ratio control of trace gas species is provided by a mass flow meter (now shown) that is coupled in-line with the process gas that provides the primary gas species.
  • a separate gas injection means (not shown) is used for in-situ conditioning species.
  • silicon doped with an appropriate dopant can be used to provide a uniform coating in the chamber 1 02 that reduces contaminants.
  • a multi-port gas injection means (not shown) is used to provide gases that cause neutral chemistry effects that result in across wafer variations.
  • the chamber 1 02 has a chamber top 1 1 8 including a first section 1 20 formed of a dielectric material that extends in a generally horizontal direction.
  • a second section 1 22 of the chamber top 1 1 8 is formed of a dielectric material that extends a height from the first section 1 20 in a generally vertical direction.
  • the dimensions of the first and the second sections 1 20, 1 22 of the chamber top 1 1 8 can be selected to improve the uniformity of plasmas generated in the chamber 1 02.
  • the dielectric materials in the first and second sections 1 20, 1 22 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 1 02.
  • the dielectric material used to form the first and second sections 1 20, 1 22 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties.
  • the dielectric material is 99.6% AI203 or AIN.
  • the dielectric material is Yittria and YAG.
  • a top section 1 24 of the chamber top 1 1 8 is formed of a conductive material that extends a length across the second section 1 22 in the horizontal direction.
  • the conductivity of the material used to form the top section 1 24 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission.
  • the conductive material used to form the top section 1 24 is chemically resistant to the process gases.
  • the conductive material is aluminum.
  • the top section 1 24 can be coupled to the second section 1 22 with a halogen resistant O-ring made of fluoro-carbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials.
  • the top section 1 24 is typically mounted to the second section 1 22 in a manner that minimizes compression on the second section 1 22, but that provides enough compression to seal the top section 1 24 to the second section.
  • the top section 1 24 is RF and DC grounded as shown in FIG. 1 .
  • the top section 1 24 comprises a cooling system that regulates the temperature of the top section 1 24 in order to dissipate the heat load generated during processing.
  • the cooling system can be a fluid cooling system that includes cooling passages 1 28 in the top section 1 24 that circulate a liquid coolant from a coolant source.
  • a ratio of the height 1 30 of the first section 1 22 of the chamber top 1 1 8 in the vertical direction to the length 1 32 across the second section 1 22 of the chamber top 1 1 8 in the horizontal direction is approximately between 1 .5 and 5.5.
  • the second section 1 22 is formed in a cylindrical shape.
  • the first section 1 20 of the chamber top 1 1 8 does not extend in exactly a horizontal direction.
  • the second section 1 22 of the chamber top 1 1 8 does not extend in exactly a vertical direction.
  • a platen 1 34 is positioned in the chamber 1 02 a height 1 36 below the top section 1 24 of the chamber top 1 1 8 and a height 1 38 below the first section 1 20 of the chamber top 1 1 8.
  • the platen 1 34 can be a substrate holder that holds a wafer 140 for processing.
  • the plasma source 1 00 is configured as a plasma immersion ion implantation source
  • the platen 1 34 holds a target, such as a semiconductor wafer to be implanted.
  • the platen 1 34 is dimensioned so that it is positioned within the inner diameter 1 42 of the chamber top 1 1 8.
  • a bias voltage power supply 1 44 is electrically connected to the platen 1 34.
  • the bias voltage power supply 1 44 biases the platen 1 34 at a voltage that attracts ions in the plasma to the wafer 140.
  • the bias voltage power supply 1 44 can be a DC power supply or a RF power supply.
  • a RF antenna is positioned proximate to at least one of the first section 1 20 and the second section 1 22 of the chamber top 1 1 8.
  • the plasma source 1 00 illustrated in FIG. 1 shows two separate RF antennas that are electrically isolated.
  • a planar coil antenna 1 46 having a plurality of turns is positioned adjacent to the first section 1 20 of the chamber top 1 1 8 and a helical coil antenna 1 48 having a plurality of turns surrounds the second section 1 22 of the chamber top 1 1 8.
  • a RF source 1 50 such as a RF power supply, is electrically connected to at least one of the planar coil antenna 1 46 and the helical coil antenna 148.
  • the RF source 1 50 is coupled to the RF antennas 146, 148 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 1 46, 1 48.
  • Dashed lines from the output of the impedance matching network 1 52 to the planar coil antenna 1 46 and the helical coil antenna 148 are used to indicate that electrical connections can be made from the output of the impedance matching network 1 52 to either or both of the planar coil antenna 1 46 and the helical coil antenna 148.
  • the RF source 1 50 resonates RF currents in the RF antennas 1 46, 1 48.
  • the RF current in the RF antennas 1 46, 1 48 induces RF currents into the chamber 1 02.
  • the RF currents in the chamber 1 02 excite and ionize the process gas so as to generate a plasma in the chamber 1 02.
  • the plasma source of the present invention can have many different antenna configurations. At least one of the planar coil antenna 146 and the helical coil antenna 1 48 is an active antenna.
  • the term "active antenna” is herein defined as an antenna that is driven directly by a power supply. In other words, a voltage generated by the power supply is directly applied to an active antenna.
  • At least one of the planar coil antenna 146 and the helical coil antenna 1 48 is formed such that it can be liquid cooled.
  • the planar coil antenna 146 and the helical coil antenna 1 48 can be tubular members that are connected to a pressurized fluid source. Cooling at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48 will reduce temperature gradients caused by the RF power propagating in the RF antennas 1 46, 148.
  • one of the planar coil antenna 146 and the helical coil antenna 1 48 is a parasitic antenna.
  • parasitic antenna is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna.
  • the planar coil antenna 146 is an active antenna that is electrically connected to the output of the power supply 1 50 and the helical coil antenna 148 is a parasitic antenna that is positioned in electromagnetic communication with the planar coil antenna 1 46.
  • the helical coil antenna 148 is an active antenna that is electrically connected to the output of the power supply 1 50 and the planar coil antenna 1 46 is positioned in electromagnetic communication with the helical coil antenna 148.
  • the parasitic antenna includes a coil adjuster that is used to change the effective number of turns in the parasitic antenna coil.
  • a coil adjuster that is used to change the effective number of turns in the parasitic antenna coil.
  • Numerous different types of coil adjusters can be used.
  • the coil adjuster 1 54 shown in FIG. 1 is a metal short that is positioned between a floating end of the parasitic coil and a desired number of turns in the helical coil antenna 148.
  • the parasitic antenna is electrically floating at both ends.
  • a switch (not shown) is used to select the desired number of turns in the parasitic antenna coil.
  • the plasma source 1 00 includes a plasma igniter 1 56.
  • the plasma igniter 1 56 includes a reservoir 1 58 of strike gas, which is a highly-ionizable gas, such as argon (Ar), that assists in igniting the plasma.
  • the reservoir 1 58 can be a relatively small reservoir of known volume and known pressure.
  • the reservoir 1 58 is coupled to the plasma chamber 1 02 with a high conductance gas connection 160.
  • a burst valve 162 isolates the reservoir 1 58 from the chamber 1 02.
  • a strike gas source is plumbed directly to the burst valve 1 62 using a low conductance gas connection.
  • the chamber 1 02 is evacuated to high vacuum.
  • the process gas is then introduced into the chamber 1 02 by the proportional valve 106 and exhausted from the chamber 1 02 by the vacuum pump 1 1 2.
  • the gas pressure controller 1 1 6 is used to maintain the desired gas pressure for a desired process gas flow rate and exhaust conductance.
  • the RF source 1 50 generates a RF signal that is applied to the RF antennas 146, 1 48.
  • the RF source 1 50 generates a relatively low frequency RF signal. Using a relatively low frequency RF signal will minimize capacitive coupling and, therefore will reduce sputtering of the chamber walls and the resulting contamination.
  • the RF source 1 50 generates RF signals below 27MHz, such as 400kHz, 2MHz, 4MHz or 1 3.56MHz.
  • the RF signal applied to the RF antennas 1 46, 1 48 generates a RF current in the RF antennas 146, 148. Electromagnetic fields induced by the RF currents in the RF antennas 1 46, 1 48 couple through at least one of the dielectric material forming the first section 1 20 and the dielectric material forming the second section 1 22 and into the chamber 1 02. In some operating modes, RF current is induced through the first section 1 20 of the chamber top 1 1 8 with an active antenna that is electrically coupled to the RF source 1 50 and through the second section 1 22 of the chamber top 1 1 8 with a parasitic antenna. In other operating modes, RF current is induced through the second section 1 22 of the chamber top 1 1 8 with an active antenna that is electrically coupled to the RF source 1 50 and through the first section 1 20 of the chamber top 1 1 8 with a parasitic antenna.
  • a strike gas is controllably introduced into the plasma chamber 1 02 at a predetermined time by opening and then closing the burst valve 1 62.
  • the burst valve 1 62 passes a short high -flow-rate burst of strike gas into the plasma chamber 1 02 in order to assist in igniting the plasma.
  • the burst gas profile is characterized by the amplitude, shape, and duration of the burst.
  • the burst gas profile is defined by several factors, such as the length of time the burst valve 1 62 is open, the pressure and the volume of the strike gas in the reservoir 1 58, the conductance of the gas connection 1 60, the pumping speed of the vacuum pump 1 1 2 and the position of the exhaust value 1 1 4.
  • a portion of the reservoir 1 58 is separated by a limited conductance orifice 1 64 or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.
  • the gas pressure controller 1 1 6 senses an increase in chamber pressure and a corresponding decrease in the process gas flow that results from the burst of strike gas. The pressure controller 1 1 6 then adjusts the exhaust conductance and varies the process gas flow rate in a feedback loop that is responsive to the pressure gauge 1 08 so that the chamber pressure recovers to the desired processing conditions within the desired response time.
  • a strike gas comprising argon (Ar) can be used to ignite diborane in helium (1 5% B2H6 in 85%He).
  • a plasma can be struck with a burst of argon that is introduced during a 0.5-5.0 second time interval from a limited conductance gas supply having a pressure that is approximately 500Torr.
  • the burst of argon increases a pressure in the chamber by about 20mTorr, which provides reliable ignition of the plasma.
  • FIG. 2 illustrates a RF plasma source 200 having a first RF coil in a first direction, a second RF coil in a second direction, and a conductive top section according to the present invention.
  • the RF plasma source 200 is similar to the RF plasma source 1 00 that was described in connection with FIG. 1 .
  • the plasma source 200 includes a chamber 1 02 that contains a process gas.
  • a gas source 1 04 which is coupled to the chamber through a proportional valve 106, supplies the process gas to the chamber 102.
  • a pressure gauge 1 08 measures the pressure inside the chamber 1 02.
  • a gas pressure controller 1 1 6 is used to maintain the desired pressure in the chamber 102 by establishing an exhaust conductance and varying the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108.
  • the chamber 102 includes an exhaust port 1 14 that is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02.
  • the chamber 1 02 has a chamber top 202 that including a first section 204 formed of a dielectric material that extends in a generally curved direction.
  • a second section 206 of the chamber top 202 is formed of a dielectric material that extends in a generally vertical direction.
  • the first and second sections 204, 206 are not orthogonal.
  • the shape and dimensions of the first and the second sections 204, 206 can be selected to improve the uniformity of plasmas generated in the chamber 1 02.
  • a top section 1 24 of the chamber top 1 1 8 is formed of a conductive material and extends a length 1 32 across the second section 206.
  • the top section 1 24 of the chamber top 202 includes cooling passages 1 28 for passing cooling fluid to control the temperature of the chamber top 1 24.
  • a platen 1 34 is positioned in the chamber 1 02 a height 1 36 below the top section 1 24 of the chamber 1 02.
  • the platen 1 34 can be a substrate holder that holds a wafer 1 40 for processing as described herein.
  • a bias voltage power supply 144 is electrically connected to the platen 1 34.
  • a RF antenna is positioned proximate to at least one of the first section 204 and the second section 206.
  • the RF antenna can have many different antenna configurations as described herein.
  • the plasma source 200 illustrated in FIG. 2 shows two separate RF antennas that are electrically isolated.
  • a coil antenna 208 having a plurality of turns surrounds the curved portion of the first section 204 of the chamber top 202.
  • a helical coil antenna 21 0 having a plurality of turns surrounds the second section 204 of the chamber top 202.
  • At least one of the coil antenna 208 and the helical coil antenna 21 0 is an active antenna as described herein.
  • at least one of the coil antenna 208 and the helical coil antenna 21 0 is formed such that it can be liquid cooled.
  • a RF source 1 50 such as a RF power supply, is electrically connected to at least one of the coil antenna 208 and the helical coil antenna 21 0.
  • the RF source 1 50 is coupled to the RF antennas 208, 21 0 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 208, 21 0.
  • the plasma source 200 includes a plasma igniter 1 56 that assists in igniting the plasma.
  • the operation of the plasma source 200 is similar to the operation of the plasma source 1 00 that was described in connection with FIG. 1 .
  • FIG. 3 illustrates a RF plasma source 300 having vertical and horizontal RF coils, a conductive top section, and an anode according to the present invention.
  • the RF plasma source 300 is similar to the RF plasma source 1 00 that was described in connection with FIG. 1 .
  • the plasma source 300 includes a chamber 1 02 that contains a process gas.
  • a gas source 1 04 which is coupled to the chamber through a proportional valve 1 06, supplies the process gas to the chamber 1 02.
  • a pressure gauge 1 08 measures the pressure inside the chamber 1 02.
  • a gas pressure controller 1 1 6 is used to maintain the desired pressure in the chamber 1 02 by establishing an exhaust conductance and varying the process gas flow rate in a feedback loop that is responsive to the pressure gauge 1 08.
  • the chamber 1 02 includes an exhaust port 1 14 that is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02.
  • the chamber 1 02 has a chamber top 1 1 8 including a first section
  • I 20 formed of a dielectric material that extends in a horizontal direction.
  • a second section 1 22 of the chamber top 1 1 8 is formed of a dielectric material that extends a height from the first section in a vertical direction.
  • a top section 1 24 of the chamber top 1 1 8 is formed of a conductive material that extends a length across the second section 1 22 in the horizontal direction.
  • the top section 1 24 of the chamber top 1 1 8 comprises a cooling system as described herein.
  • the dimensions of the first and the second sections 1 20,1 22 can be selected to improve the uniformity of plasmas generated in the chamber 1 02 as described herein.
  • An anode 302 is positioned in the chamber 1 02 adjacent to the top section 1 24 of the chamber top 1 1 8. In some embodiments, the ratio of an area of the anode 302 to an area of the top section 1 24 of the chamber top
  • the anode 302 has a planar geometry as shown in FIG. 3. However, there are many other anode geometries that are within the scope of the present invention.
  • the anode 302 forms a baffle that disperses the process gas in the chamber 1 02.
  • the anode 302 forms a shower head that dispenses the process gas into the chamber 1 02.
  • the position of the anode 302 in the chamber 1 02 relative to the top section 1 24 of the chamber top 1 1 8 is adjustable. For example, the position of the anode 302 in the chamber 1 02 relative to the top section 1 24 can be chosen to achieve a particular plasma uniformity.
  • a power supply 304 is electrically connected to the anode 302 as shown in FIG. 3.
  • the power supply 304 can be a pulsed DC power supply, a RF power supply, or a combination of a pulsed DC power supply and a RF power supply.
  • the power supply 304 biases the anode 302 to emit electrons.
  • the anode 302 is electrically connected to ground potential or is electrically floating.
  • a platen 1 34 is positioned in the chamber 1 02 a height 306 below the anode 302 and a height 1 36 below the first section 1 20 of the chamber 1 02 top.
  • the platen 1 34 can be a substrate holder that holds a wafer 140 for processing as described herein.
  • a bias voltage power supply 144 is electrically connected to the platen 1 34.
  • a RF antenna is positioned proximate to at least one of the first section 1 20 and the second section 1 22.
  • the plasma source 300 illustrated in FIG. 3 shows two separate RF antennas that are electrically isolated.
  • a planar coil antenna 1 46 having a plurality of turns is positioned adjacent to the first section 1 20 of the chamber top 1 1 8 and a helical coil antenna 1 48 having a plurality of turns surrounds the second section 1 22 of the chamber top 1 1 8 as described in connection with FIG. 1 .
  • At least one of the planar coil antenna 146 and the helical coil antenna 1 48 is an active antenna.
  • at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48 is formed such that it can be liquid cooled.
  • a RF source 1 50 such as a RF power supply, is electrically connected to at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48.
  • the RF source 1 50 is coupled to the RF antennas 146, 148 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 1 46, 1 48.
  • the plasma source 300 includes a plasma igniter 1 56 that assists in igniting the plasma.
  • the operation of the RF source 300 is similar to the operation of the RF source 1 00.
  • the anode 302 is biased to emit electrons.
  • the RF power 1 50 resonates RF currents in the RF antenna 1 46, 1 48.
  • the RF current in the RF antenna 1 46, 148 induces radio frequency currents into the chamber 1 02.
  • the power supply 304 applies a pulsed direct current and/or a RF field the anode 302 at a voltage that causes the anode 302 to emit electrons.
  • Both the electrons emitted by the anode and the electrons induced radio frequency currents excite and ionize the process gas, which ignites a plasma in the chamber 1 02.
  • a plasma igniter 1 56 can be used to assist in igniting the plasma.
  • the plasma is sustained by one or both of the electrons emitted from the anode 302 and the induced radio frequency currents.
  • Plasma sources according to the present invention can be used to perform numerous types of plasma processes. Some plasma processes are performed under isobaric and isothermal conditions to minimize shock to the processing system. Minimizing shock to the system will reduce particulate contamination in the chamber and on the wafer.
  • plasma sources according to the present invention can be used for plasma immersion ion implantation. Plasma immersion ion implantation requires the generation of a highly uniform plasma. Also, plasma immersion ion implantation requires that the power supply 144 biases the platen 1 34 with a negative voltage so that ions are attracted to the wafer or target 1 40.
  • a method of generating a uniform plasma for ion implantation includes introducing a process gas into a chamber 1 02.
  • a radio frequency current is induced through the dielectric material of at least one of the first and second sections 1 20, 1 24 of the chamber top 1 1 8.
  • the radio frequency current excites and ionizes the process gas so as to generate a plasma in the chamber 1 02.
  • the geometry of the first and the second sections 1 20, 1 24 of the chamber top 1 1 8 and the configuration of the RF antenna is chosen so that a uniform plasma is generated.
  • the electromagnetic coupling can be adjusted with the coil adjuster 1 54 to improve the uniformity of the plasma.
  • a wafer or target 1 40 positioned on the platen 1 34 is biased so that ions in the plasma are attracted to the wafer or target 140.
  • the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can be chosen so that the plasma sources 1 00, 200, 300 achieve exceptionally high radial and azimuthal plasma uniformity.
  • the radial and azimuthal plasma uniformity can be adjusted by varying the ratio of the height 1 30 of the first section 1 20 of the chamber top 1 1 8 to the length 1 32 of the second section 1 22 of the chamber top 1 1 8. Varying the ratio of the height 1 30 of the first section 1 20 to the length 1 32 of the second section 1 22 of the chamber top 1 1 8 will affect the RF coupling into the plasma and, therefore, the uniformity of the ion flux at the platen 1 34.
  • the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can also be chosen so that the plasma sources 100, 200, 300 minimize the effects of secondary electrons on the plasma density, plasma uniformity, and the plasma chemistry. Furthermore, the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can be chosen so that the chamber volume and, therefore, the gas residence time improves or maximizes the plasma uniformity and repeatability. It is understood, however, that the optimal ratio of the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 is also a function of several non-geometrical factors, such as the chamber material, the process gas, and the RF power level.
  • the coil adjuster 1 54 illustrated in FIGS. 1 -3 is used to adjust the number of parasitic coil turns in order to change the properties of the plasma generated in the chamber 1 02.
  • FIGS. 4A-C illustrate graphs of radial plasma density profiles for two different coil adjuster 1 54 positions.
  • FIG. 4A illustrates a graph 400 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of 2mTorr and a RF power level of 750W. Also, FIG. 4A illustrates a graph 402 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of 2mTorr and a RF power level of 750W.
  • the graphs 400, 402 in FIG. 4A illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 1 5cm radius.
  • FIG. 4B illustrates a graph 406 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of 4mTorr and a RF power level of 750W. Also, FIG. 4B illustrates a graph 408 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of 4mTorr and a RF power level of 750W.
  • the graphs 406, 408 in FIG. 4B illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 1 2cm radius.
  • FIG. 4C illustrates a graph 41 0 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of ⁇ mTorr and a RF power level of 750W. Also, FIG. 4C illustrates a graph 41 2 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of ⁇ mTorr and a RF power level of about 750W.
  • the graphs 41 0, 41 2 in FIG. 4C illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 8cm radius. Comparing FIGS. 4A-C indicates that both the number of turns of the vertical parasitic coil and the chamber pressure affect the uniformity of the plasma and that the chamber pressure affects the ion saturation current.
  • FIGS. 5A-B illustrate graphs of plasma uniformity and mean ion current as a function of chamber pressure for a constant RF power level.
  • FIG. 5A illustrates a graph 500 of percent ion saturation current change for a one turn vertical parasitic coil as a function of chamber pressure over a 1 5cm distance.
  • the RF power is 750W.
  • the graph 500 indicates that the percent ion saturation current change is at a minimum in the pressure range of about 4- 8mTorr.
  • FIG. 5A also illustrates a graph 502 of percent ion saturation current change for a four turn vertical parasitic coil as a function of chamber pressure over a 1 5cm distance.
  • the RF power is 750W.
  • the graph 502 indicates that the percent ion saturation current change is at a minimum when the chamber pressure is about 4mTorr.
  • the graphs 500, 502 indicate that increasing the number of parasitic coil turns will improve the uniformity of the plasma.
  • FIG. 5B illustrates a graph 504 of mean ion saturation current as a function of chamber pressure for a one turn vertical parasitic coil with a RF power level of 750W.
  • FIG. 5B also illustrates a graph 506 of mean ion saturation current as a function of chamber pressure for a four turn vertical parasitic coil with a RF power level of 750W.
  • the graphs 504, 506 indicate that increasing the number of turns in the parasitic coil will decrease the plasma density.

Abstract

A plasma source includes a chamber that contains a process gas. The chamber has a chamber top comprising a first section formed of a dielectric material that extends in a horizontal direction. A second section of the chamber top is formed of a dielectric material that extends a height from the first section in a vertical direction. A top section of the chamber top is formed of a conductive material that extends a length across the second section in the horizontal direction. A radio frequency antenna is positioned proximate to at least one of the first section and the second section. The radio frequency antenna induces radio frequency currents into the chamber that excite and ionize the process gas so as to generate a plasma in the chamber.

Description

RF Plasma Source with Conductive Top Section DESCRIPTION
Related Application Section
[Para 1 ] This application is a continuation-in-part of U.S. Patent Application Serial Number 1 0/805,966, filed March 22, 2004 entitled "Plasma Immersion Ion Implantation Apparatus and Method," the entire application of which is incorporated herein by reference.
Introduction
[Para 2] The section headings used herein are for organizational purposes only and are not to be construed as limiting the subject matter described in any way.
[Para 3] The present invention relates to plasma sources. Plasma sources are commonly used in the semiconductor industry and other industries for performing etching and deposition. Plasma immersion systems immerse a substrate or target in a plasma for processing. The substrate or target is biased with respect to the plasma potential in order to attract ions for processing.
[Para 4] Recently plasma immersion systems have been used for performing ion implantation of semiconductor wafers. Ions are not accelerated toward the wafer like in conventional ion implantation systems. Instead the wafer is immersed in a plasma containing dopant ions. The ion penetration depths can be very shallow. Therefore, plasma immersion systems can perform very shallow ion implantations that can be used for fabricating modern electronic and optical components. [Para 5] One type of plasma immersion source uses a pulsed DC power supply to generate the plasma. The DC power supply generates a voltage that creates a plasma discharge from a process gas in a chamber. The DC voltage and secondary electrons generated from collisions with chamber surfaces and with the target sustain the plasma. Other types of plasma immersion sources use a radio frequency (RF) source to generate the plasma. The RF source generates a RF voltage. The RF voltage generates and maintains the plasma by capacitively coupling RF energy from an electrode across the plasma sheath to electrons in the plasma. Other types of plasma immersion sources use microwave power applicators to generate and maintain the plasma.
[Para 6] Plasma sources for ion implantation have more stringent requirements than plasma sources for other plasma processing applications, such as plasma etching and plasma deposition. For example, plasma immersion sources used for ion implantation must generate plasma with highly uniform plasmas ion flux in both the radial and the azimuthal direction so that uniform ion flux impinges on the wafer surface.
[Para 7] In addition, plasma immersion systems must dissipate the heat load and minimize charging effects that results from secondary electron emission from the wafer. Typically secondary electrons are accelerated away from the surface of the substrate at the implant voltage and the power carried by these electrons is deposited in the chamber top. Conventional plasma immersion sources are used with chamber tops that are formed of insulating materials. The secondary electrons tend to heat and to charge the chamber tops, which can adversely affect ion energy uniformity and process repeatability.
Brief Description of the Drawings
[Para 8] The aspects of this invention may be better understood by referring to the following description in conjunction with the accompanying drawings, in which like numerals indicate like structural elements and features in various figures. The drawings are not necessarily to scale. The skilled artisan will understand that the drawings, described below, are for illustration purposes only. The drawings are not intended to limit the scope of the present teachings in any way.
[Para 9] FIG. 1 illustrates a RF plasma source having vertical and horizontal RF coils and a conductive top section according to the present invention.
[Para 1 0] FIG. 2 illustrates a RF plasma source having a first RF coil in a first direction, a second RF coil in a second direction, and a conductive top section according to the present invention.
[Para 1 1 ] FIG. 3 illustrates a RF plasma source having vertical and horizontal RF coils, a conductive top section, and an anode according to the present invention.
[Para 1 2] FIGS. 4A-C illustrate graphs of radial plasma density profiles for two different coil adjuster positions.
[Para 1 3] FIGS. 5A-B illustrate graphs of plasma uniformity and mean ion current as a function of chamber pressure for a constant RF power level.
Detailed Description
[Para 14] A plasma source of the present invention provides a uniform ion flux and also dissipates the effects of secondary electrons. Some aspects of the plasma source of the present invention are described in connection with plasma doping for the purpose of illustrating the invention. However, it is understood that the plasma source of the present invention has many applications and is not limited to plasma immersion sources for plasma doping.
[Para 1 5] FIG. 1 illustrates a RF plasma source 1 00 having vertical and horizontal RF coils and a conductive top section according to the present invention. The plasma source 1 00 includes a chamber 1 02 that contains a process gas. A gas source 1 04 that is coupled to the chamber 1 02 through a proportional valve 1 06 supplies the process gas to the chamber 1 02. A pressure gauge 1 08 measures the pressure inside the chamber 1 02. An exhaust port 1 1 0 in the chamber 102 is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02. An exhaust valve 1 1 4 controls the exhaust conductance through the exhaust port 1 1 0.
[Para 16] A gas pressure controller 1 16 is electrically connected to the proportional valve 1 06, the pressure gauge 1 08, and the exhaust valve 1 14. The gas pressure controller 1 1 6 maintains the desired pressure in the chamber 1 02 by controlling the exhaust conductance with the exhaust valve 1 14 and controlling the process gas flow rate with the proportional valve 1 06 in a feedback loop that is responsive to the pressure gauge 1 08.
[Para 1 7] In some embodiments, a ratio control of trace gas species is provided by a mass flow meter (now shown) that is coupled in-line with the process gas that provides the primary gas species. Also, in some embodiments, a separate gas injection means (not shown) is used for in-situ conditioning species. For example, silicon doped with an appropriate dopant can be used to provide a uniform coating in the chamber 1 02 that reduces contaminants. Furthermore, in some embodiments, a multi-port gas injection means (not shown) is used to provide gases that cause neutral chemistry effects that result in across wafer variations.
[Para 1 8] The chamber 1 02 has a chamber top 1 1 8 including a first section 1 20 formed of a dielectric material that extends in a generally horizontal direction. A second section 1 22 of the chamber top 1 1 8 is formed of a dielectric material that extends a height from the first section 1 20 in a generally vertical direction. The dimensions of the first and the second sections 1 20, 1 22 of the chamber top 1 1 8 can be selected to improve the uniformity of plasmas generated in the chamber 1 02.
[Para 1 9] The dielectric materials in the first and second sections 1 20, 1 22 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 1 02. In one embodiment, the dielectric material used to form the first and second sections 1 20, 1 22 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties. For example, in some embodiments, the dielectric material is 99.6% AI203 or AIN. In other embodiments, the dielectric material is Yittria and YAG.
[Para 20] A top section 1 24 of the chamber top 1 1 8 is formed of a conductive material that extends a length across the second section 1 22 in the horizontal direction. In many embodiments, the conductivity of the material used to form the top section 1 24 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission. Typically, the conductive material used to form the top section 1 24 is chemically resistant to the process gases. In some embodiments, the conductive material is aluminum.
[Para 21 ] The top section 1 24 can be coupled to the second section 1 22 with a halogen resistant O-ring made of fluoro-carbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials. The top section 1 24 is typically mounted to the second section 1 22 in a manner that minimizes compression on the second section 1 22, but that provides enough compression to seal the top section 1 24 to the second section. In some operating modes, the top section 1 24 is RF and DC grounded as shown in FIG. 1 .
[Para 22] In some embodiments, the top section 1 24 comprises a cooling system that regulates the temperature of the top section 1 24 in order to dissipate the heat load generated during processing. The cooling system can be a fluid cooling system that includes cooling passages 1 28 in the top section 1 24 that circulate a liquid coolant from a coolant source. Some processes, such as plasma doping processes, generate a considerable amount of non- uniformly distributed heat on the inner surfaces of the plasma chamber because of secondary electron emissions. The non-uniformly distributed heat creates temperature gradients that are high enough to cause thermal stress points within the chamber 1 02 that can result in a chamber 1 02 failure.
[Para 23] In one embodiment, a ratio of the height 1 30 of the first section 1 22 of the chamber top 1 1 8 in the vertical direction to the length 1 32 across the second section 1 22 of the chamber top 1 1 8 in the horizontal direction is approximately between 1 .5 and 5.5. In the embodiment shown in FIG. 1 , the second section 1 22 is formed in a cylindrical shape. However, in other embodiments of the invention, the first section 1 20 of the chamber top 1 1 8 does not extend in exactly a horizontal direction. Also, in other embodiments, the second section 1 22 of the chamber top 1 1 8 does not extend in exactly a vertical direction.
[Para 24] A platen 1 34 is positioned in the chamber 1 02 a height 1 36 below the top section 1 24 of the chamber top 1 1 8 and a height 1 38 below the first section 1 20 of the chamber top 1 1 8. The platen 1 34 can be a substrate holder that holds a wafer 140 for processing. For example, if the plasma source 1 00 is configured as a plasma immersion ion implantation source, the platen 1 34 holds a target, such as a semiconductor wafer to be implanted. In one embodiment, the platen 1 34 is dimensioned so that it is positioned within the inner diameter 1 42 of the chamber top 1 1 8.
[Para 25] In some embodiments, a bias voltage power supply 1 44 is electrically connected to the platen 1 34. The bias voltage power supply 1 44 biases the platen 1 34 at a voltage that attracts ions in the plasma to the wafer 140. The bias voltage power supply 1 44 can be a DC power supply or a RF power supply.
[Para 26] A RF antenna is positioned proximate to at least one of the first section 1 20 and the second section 1 22 of the chamber top 1 1 8. The plasma source 1 00 illustrated in FIG. 1 shows two separate RF antennas that are electrically isolated. A planar coil antenna 1 46 having a plurality of turns is positioned adjacent to the first section 1 20 of the chamber top 1 1 8 and a helical coil antenna 1 48 having a plurality of turns surrounds the second section 1 22 of the chamber top 1 1 8.
[Para 27] A RF source 1 50, such as a RF power supply, is electrically connected to at least one of the planar coil antenna 1 46 and the helical coil antenna 148. The RF source 1 50 is coupled to the RF antennas 146, 148 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 1 46, 1 48. Dashed lines from the output of the impedance matching network 1 52 to the planar coil antenna 1 46 and the helical coil antenna 148 are used to indicate that electrical connections can be made from the output of the impedance matching network 1 52 to either or both of the planar coil antenna 1 46 and the helical coil antenna 148.
[Para 28] The RF source 1 50 resonates RF currents in the RF antennas 1 46, 1 48. The RF current in the RF antennas 1 46, 1 48 induces RF currents into the chamber 1 02. The RF currents in the chamber 1 02 excite and ionize the process gas so as to generate a plasma in the chamber 1 02.
[Para 29] The plasma source of the present invention can have many different antenna configurations. At least one of the planar coil antenna 146 and the helical coil antenna 1 48 is an active antenna. The term "active antenna" is herein defined as an antenna that is driven directly by a power supply. In other words, a voltage generated by the power supply is directly applied to an active antenna.
[Para 30] In some embodiments, at least one of the planar coil antenna 146 and the helical coil antenna 1 48 is formed such that it can be liquid cooled. For example, the planar coil antenna 146 and the helical coil antenna 1 48 can be tubular members that are connected to a pressurized fluid source. Cooling at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48 will reduce temperature gradients caused by the RF power propagating in the RF antennas 1 46, 148.
[Para 31 ] In some embodiments, one of the planar coil antenna 146 and the helical coil antenna 1 48 is a parasitic antenna. The term "parasitic antenna" is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna.
[Para 32] For example, in one embodiment, the planar coil antenna 146 is an active antenna that is electrically connected to the output of the power supply 1 50 and the helical coil antenna 148 is a parasitic antenna that is positioned in electromagnetic communication with the planar coil antenna 1 46. In another embodiment, the helical coil antenna 148 is an active antenna that is electrically connected to the output of the power supply 1 50 and the planar coil antenna 1 46 is positioned in electromagnetic communication with the helical coil antenna 148.
[Para 33] In some embodiments of the invention, one end of the parasitic antenna is electrically connected to ground potential in order to provide antenna tuning capabilities. In this embodiment, the parasitic antenna includes a coil adjuster that is used to change the effective number of turns in the parasitic antenna coil. Numerous different types of coil adjusters can be used. For example, the coil adjuster 1 54 shown in FIG. 1 is a metal short that is positioned between a floating end of the parasitic coil and a desired number of turns in the helical coil antenna 148. In other embodiments, the parasitic antenna is electrically floating at both ends. In these other embodiments, a switch (not shown) is used to select the desired number of turns in the parasitic antenna coil.
[Para 34] In some embodiments, the plasma source 1 00 includes a plasma igniter 1 56. Numerous types of plasma igniters can be used with the plasma source of the present invention. In one embodiment, the plasma igniter 1 56 includes a reservoir 1 58 of strike gas, which is a highly-ionizable gas, such as argon (Ar), that assists in igniting the plasma. The reservoir 1 58 can be a relatively small reservoir of known volume and known pressure. The reservoir 1 58 is coupled to the plasma chamber 1 02 with a high conductance gas connection 160. A burst valve 162 isolates the reservoir 1 58 from the chamber 1 02. In another embodiment, a strike gas source is plumbed directly to the burst valve 1 62 using a low conductance gas connection.
[Para 35] In operation, the chamber 1 02 is evacuated to high vacuum. The process gas is then introduced into the chamber 1 02 by the proportional valve 106 and exhausted from the chamber 1 02 by the vacuum pump 1 1 2. The gas pressure controller 1 1 6 is used to maintain the desired gas pressure for a desired process gas flow rate and exhaust conductance.
[Para 36] The RF source 1 50 generates a RF signal that is applied to the RF antennas 146, 1 48. In some embodiments, the RF source 1 50 generates a relatively low frequency RF signal. Using a relatively low frequency RF signal will minimize capacitive coupling and, therefore will reduce sputtering of the chamber walls and the resulting contamination. For example, in these embodiments, the RF source 1 50 generates RF signals below 27MHz, such as 400kHz, 2MHz, 4MHz or 1 3.56MHz.
[Para 37] The RF signal applied to the RF antennas 1 46, 1 48 generates a RF current in the RF antennas 146, 148. Electromagnetic fields induced by the RF currents in the RF antennas 1 46, 1 48 couple through at least one of the dielectric material forming the first section 1 20 and the dielectric material forming the second section 1 22 and into the chamber 1 02. In some operating modes, RF current is induced through the first section 1 20 of the chamber top 1 1 8 with an active antenna that is electrically coupled to the RF source 1 50 and through the second section 1 22 of the chamber top 1 1 8 with a parasitic antenna. In other operating modes, RF current is induced through the second section 1 22 of the chamber top 1 1 8 with an active antenna that is electrically coupled to the RF source 1 50 and through the first section 1 20 of the chamber top 1 1 8 with a parasitic antenna.
[Para 38] The electromagnetic fields induced in the chamber 1 02 excite and ionize the process gas molecules. Plasma ignition occurs when a small number of free electrons move in such a way that they ionize some process gas molecules. The ionized process gas molecules release more free electrons that ionize more gas molecules. The ionization process continues until a steady state of ionized gas and free electrons are present in the plasma. In some embodiments, the characteristics of the plasma are tuned by changing the effective number of turns in the parasitic antenna coil with the coil adjuster 1 54.
[Para 39] Plasma ignition is difficult for some process gases, such as diborane in helium (1 5% B2H6 in 85%He). For these gases, it is desirable to use a strike gas to initiate the plasma. In one embodiment, a strike gas is controllably introduced into the plasma chamber 1 02 at a predetermined time by opening and then closing the burst valve 1 62. The burst valve 1 62 passes a short high -flow-rate burst of strike gas into the plasma chamber 1 02 in order to assist in igniting the plasma. [Para 40] The burst gas profile is characterized by the amplitude, shape, and duration of the burst. The burst gas profile is defined by several factors, such as the length of time the burst valve 1 62 is open, the pressure and the volume of the strike gas in the reservoir 1 58, the conductance of the gas connection 1 60, the pumping speed of the vacuum pump 1 1 2 and the position of the exhaust value 1 1 4. In some embodiments, a portion of the reservoir 1 58 is separated by a limited conductance orifice 1 64 or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.
[Para 41 ] The gas pressure controller 1 1 6 senses an increase in chamber pressure and a corresponding decrease in the process gas flow that results from the burst of strike gas. The pressure controller 1 1 6 then adjusts the exhaust conductance and varies the process gas flow rate in a feedback loop that is responsive to the pressure gauge 1 08 so that the chamber pressure recovers to the desired processing conditions within the desired response time.
[Para 42] For example, a strike gas comprising argon (Ar) can be used to ignite diborane in helium (1 5% B2H6 in 85%He). In this example, a plasma can be struck with a burst of argon that is introduced during a 0.5-5.0 second time interval from a limited conductance gas supply having a pressure that is approximately 500Torr. The burst of argon increases a pressure in the chamber by about 20mTorr, which provides reliable ignition of the plasma.
[Para 43] FIG. 2 illustrates a RF plasma source 200 having a first RF coil in a first direction, a second RF coil in a second direction, and a conductive top section according to the present invention. The RF plasma source 200 is similar to the RF plasma source 1 00 that was described in connection with FIG. 1 . The plasma source 200 includes a chamber 1 02 that contains a process gas. A gas source 1 04, which is coupled to the chamber through a proportional valve 106, supplies the process gas to the chamber 102.
[Para 44] A pressure gauge 1 08 measures the pressure inside the chamber 1 02. A gas pressure controller 1 1 6 is used to maintain the desired pressure in the chamber 102 by establishing an exhaust conductance and varying the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108. The chamber 102 includes an exhaust port 1 14 that is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02.
[Para 45] The chamber 1 02 has a chamber top 202 that including a first section 204 formed of a dielectric material that extends in a generally curved direction. A second section 206 of the chamber top 202 is formed of a dielectric material that extends in a generally vertical direction. The first and second sections 204, 206 are not orthogonal. The shape and dimensions of the first and the second sections 204, 206 can be selected to improve the uniformity of plasmas generated in the chamber 1 02. A top section 1 24 of the chamber top 1 1 8 is formed of a conductive material and extends a length 1 32 across the second section 206. In some embodiments, the top section 1 24 of the chamber top 202 includes cooling passages 1 28 for passing cooling fluid to control the temperature of the chamber top 1 24.
[Para 46] A platen 1 34 is positioned in the chamber 1 02 a height 1 36 below the top section 1 24 of the chamber 1 02. The platen 1 34 can be a substrate holder that holds a wafer 1 40 for processing as described herein. In some embodiments, a bias voltage power supply 144 is electrically connected to the platen 1 34.
[Para 47] A RF antenna is positioned proximate to at least one of the first section 204 and the second section 206. The RF antenna can have many different antenna configurations as described herein. The plasma source 200 illustrated in FIG. 2 shows two separate RF antennas that are electrically isolated. A coil antenna 208 having a plurality of turns surrounds the curved portion of the first section 204 of the chamber top 202. A helical coil antenna 21 0 having a plurality of turns surrounds the second section 204 of the chamber top 202. At least one of the coil antenna 208 and the helical coil antenna 21 0 is an active antenna as described herein. In some embodiments, at least one of the coil antenna 208 and the helical coil antenna 21 0 is formed such that it can be liquid cooled.
[Para 48] A RF source 1 50, such as a RF power supply, is electrically connected to at least one of the coil antenna 208 and the helical coil antenna 21 0. The RF source 1 50 is coupled to the RF antennas 208, 21 0 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 208, 21 0. In some embodiments, the plasma source 200 includes a plasma igniter 1 56 that assists in igniting the plasma. The operation of the plasma source 200 is similar to the operation of the plasma source 1 00 that was described in connection with FIG. 1 .
[Para 49] FIG. 3 illustrates a RF plasma source 300 having vertical and horizontal RF coils, a conductive top section, and an anode according to the present invention. The RF plasma source 300 is similar to the RF plasma source 1 00 that was described in connection with FIG. 1 . The plasma source 300 includes a chamber 1 02 that contains a process gas. A gas source 1 04, which is coupled to the chamber through a proportional valve 1 06, supplies the process gas to the chamber 1 02.
[Para 50] A pressure gauge 1 08 measures the pressure inside the chamber 1 02. A gas pressure controller 1 1 6 is used to maintain the desired pressure in the chamber 1 02 by establishing an exhaust conductance and varying the process gas flow rate in a feedback loop that is responsive to the pressure gauge 1 08. The chamber 1 02 includes an exhaust port 1 14 that is coupled to a vacuum pump 1 1 2 that evacuates the chamber 1 02.
[Para 51 ] The chamber 1 02 has a chamber top 1 1 8 including a first section
I 20 formed of a dielectric material that extends in a horizontal direction. A second section 1 22 of the chamber top 1 1 8 is formed of a dielectric material that extends a height from the first section in a vertical direction. A top section 1 24 of the chamber top 1 1 8 is formed of a conductive material that extends a length across the second section 1 22 in the horizontal direction. In some embodiments, the top section 1 24 of the chamber top 1 1 8 comprises a cooling system as described herein. The dimensions of the first and the second sections 1 20,1 22 can be selected to improve the uniformity of plasmas generated in the chamber 1 02 as described herein.
[Para 52] An anode 302 is positioned in the chamber 1 02 adjacent to the top section 1 24 of the chamber top 1 1 8. In some embodiments, the ratio of an area of the anode 302 to an area of the top section 1 24 of the chamber top
I I 8 is less than one. In some embodiments, the anode 302 has a planar geometry as shown in FIG. 3. However, there are many other anode geometries that are within the scope of the present invention. For example, in some embodiments, the anode 302 forms a baffle that disperses the process gas in the chamber 1 02. Also, in some embodiments, the anode 302 forms a shower head that dispenses the process gas into the chamber 1 02. Furthermore, in some embodiments, the position of the anode 302 in the chamber 1 02 relative to the top section 1 24 of the chamber top 1 1 8 is adjustable. For example, the position of the anode 302 in the chamber 1 02 relative to the top section 1 24 can be chosen to achieve a particular plasma uniformity.
[Para 53] In one embodiment, a power supply 304 is electrically connected to the anode 302 as shown in FIG. 3. The power supply 304 can be a pulsed DC power supply, a RF power supply, or a combination of a pulsed DC power supply and a RF power supply. The power supply 304 biases the anode 302 to emit electrons. In other embodiments, the anode 302 is electrically connected to ground potential or is electrically floating.
[Para 54] A platen 1 34 is positioned in the chamber 1 02 a height 306 below the anode 302 and a height 1 36 below the first section 1 20 of the chamber 1 02 top. The platen 1 34 can be a substrate holder that holds a wafer 140 for processing as described herein. In some embodiments, a bias voltage power supply 144 is electrically connected to the platen 1 34.
[Para 55] A RF antenna is positioned proximate to at least one of the first section 1 20 and the second section 1 22. The plasma source 300 illustrated in FIG. 3 shows two separate RF antennas that are electrically isolated. A planar coil antenna 1 46 having a plurality of turns is positioned adjacent to the first section 1 20 of the chamber top 1 1 8 and a helical coil antenna 1 48 having a plurality of turns surrounds the second section 1 22 of the chamber top 1 1 8 as described in connection with FIG. 1 . At least one of the planar coil antenna 146 and the helical coil antenna 1 48 is an active antenna. In some embodiments, at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48 is formed such that it can be liquid cooled. [Para 56] A RF source 1 50, such as a RF power supply, is electrically connected to at least one of the planar coil antenna 1 46 and the helical coil antenna 1 48. The RF source 1 50 is coupled to the RF antennas 146, 148 by an impedance matching network 1 52 that maximizes the power transferred from the RF source 1 50 to the RF antennas 1 46, 1 48. In some embodiments, the plasma source 300 includes a plasma igniter 1 56 that assists in igniting the plasma.
[Para 57] The operation of the RF source 300 is similar to the operation of the RF source 1 00. However, the anode 302 is biased to emit electrons. The RF power 1 50 resonates RF currents in the RF antenna 1 46, 1 48. The RF current in the RF antenna 1 46, 148 induces radio frequency currents into the chamber 1 02. The power supply 304 applies a pulsed direct current and/or a RF field the anode 302 at a voltage that causes the anode 302 to emit electrons. Both the electrons emitted by the anode and the electrons induced radio frequency currents excite and ionize the process gas, which ignites a plasma in the chamber 1 02. A plasma igniter 1 56 can be used to assist in igniting the plasma. The plasma is sustained by one or both of the electrons emitted from the anode 302 and the induced radio frequency currents.
[Para 58] Plasma sources according to the present invention can be used to perform numerous types of plasma processes. Some plasma processes are performed under isobaric and isothermal conditions to minimize shock to the processing system. Minimizing shock to the system will reduce particulate contamination in the chamber and on the wafer. For example, plasma sources according to the present invention can be used for plasma immersion ion implantation. Plasma immersion ion implantation requires the generation of a highly uniform plasma. Also, plasma immersion ion implantation requires that the power supply 144 biases the platen 1 34 with a negative voltage so that ions are attracted to the wafer or target 1 40.
[Para 59] A method of generating a uniform plasma for ion implantation according to the present invention includes introducing a process gas into a chamber 1 02. A radio frequency current is induced through the dielectric material of at least one of the first and second sections 1 20, 1 24 of the chamber top 1 1 8. The radio frequency current excites and ionizes the process gas so as to generate a plasma in the chamber 1 02. The geometry of the first and the second sections 1 20, 1 24 of the chamber top 1 1 8 and the configuration of the RF antenna is chosen so that a uniform plasma is generated. In addition, the electromagnetic coupling can be adjusted with the coil adjuster 1 54 to improve the uniformity of the plasma. A wafer or target 1 40 positioned on the platen 1 34 is biased so that ions in the plasma are attracted to the wafer or target 140.
[Para 60] Secondary electrons are generated when the ions in the plasma impact the wafer or target 1 40. These secondary electrons are dissipated by the conducting material forming the top section 1 24 of the chamber top 1 1 8. Dissipating the secondary electrons reduces or eliminates charging effects caused by the secondary electrons and, therefore, improves the uniformity of the plasma. The top section 1 24 of the chamber top 1 1 8 may require fluid cooling in order to dissipate the heat generated when the secondary electrons impact the conducting material.
[Para 61 ] The dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can be chosen so that the plasma sources 1 00, 200, 300 achieve exceptionally high radial and azimuthal plasma uniformity. The radial and azimuthal plasma uniformity can be adjusted by varying the ratio of the height 1 30 of the first section 1 20 of the chamber top 1 1 8 to the length 1 32 of the second section 1 22 of the chamber top 1 1 8. Varying the ratio of the height 1 30 of the first section 1 20 to the length 1 32 of the second section 1 22 of the chamber top 1 1 8 will affect the RF coupling into the plasma and, therefore, the uniformity of the ion flux at the platen 1 34.
[Para 62] The dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can also be chosen so that the plasma sources 100, 200, 300 minimize the effects of secondary electrons on the plasma density, plasma uniformity, and the plasma chemistry. Furthermore, the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 described in connection with FIGS. 1 -3 can be chosen so that the chamber volume and, therefore, the gas residence time improves or maximizes the plasma uniformity and repeatability. It is understood, however, that the optimal ratio of the dimensions of the chamber top 1 1 8 of the plasma sources 1 00, 200, 300 is also a function of several non-geometrical factors, such as the chamber material, the process gas, and the RF power level.
[Para 63] In some embodiments of the invention, the coil adjuster 1 54 illustrated in FIGS. 1 -3 is used to adjust the number of parasitic coil turns in order to change the properties of the plasma generated in the chamber 1 02. FIGS. 4A-C illustrate graphs of radial plasma density profiles for two different coil adjuster 1 54 positions.
[Para 64] FIG. 4A illustrates a graph 400 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of 2mTorr and a RF power level of 750W. Also, FIG. 4A illustrates a graph 402 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of 2mTorr and a RF power level of 750W. The graphs 400, 402 in FIG. 4A illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 1 5cm radius.
[Para 65] FIG. 4B illustrates a graph 406 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of 4mTorr and a RF power level of 750W. Also, FIG. 4B illustrates a graph 408 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of 4mTorr and a RF power level of 750W. The graphs 406, 408 in FIG. 4B illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 1 2cm radius.
[Para 66] FIG. 4C illustrates a graph 41 0 of ion saturation current as a function of radius for a one turn vertical parasitic coil with a chamber pressure of δmTorr and a RF power level of 750W. Also, FIG. 4C illustrates a graph 41 2 of ion saturation current as a function of radius for a four turn vertical parasitic coil with a chamber pressure of δmTorr and a RF power level of about 750W. The graphs 41 0, 41 2 in FIG. 4C illustrate that adjusting the coil adjuster 1 54 to a position that results in four vertical turns will result in a relatively uniform plasma over about a 8cm radius. Comparing FIGS. 4A-C indicates that both the number of turns of the vertical parasitic coil and the chamber pressure affect the uniformity of the plasma and that the chamber pressure affects the ion saturation current.
[Para 67] FIGS. 5A-B illustrate graphs of plasma uniformity and mean ion current as a function of chamber pressure for a constant RF power level. FIG. 5A illustrates a graph 500 of percent ion saturation current change for a one turn vertical parasitic coil as a function of chamber pressure over a 1 5cm distance. The RF power is 750W. The graph 500 indicates that the percent ion saturation current change is at a minimum in the pressure range of about 4- 8mTorr.
[Para 68] FIG. 5A also illustrates a graph 502 of percent ion saturation current change for a four turn vertical parasitic coil as a function of chamber pressure over a 1 5cm distance. The RF power is 750W. The graph 502 indicates that the percent ion saturation current change is at a minimum when the chamber pressure is about 4mTorr. The graphs 500, 502 indicate that increasing the number of parasitic coil turns will improve the uniformity of the plasma.
[Para 69] FIG. 5B illustrates a graph 504 of mean ion saturation current as a function of chamber pressure for a one turn vertical parasitic coil with a RF power level of 750W. FIG. 5B also illustrates a graph 506 of mean ion saturation current as a function of chamber pressure for a four turn vertical parasitic coil with a RF power level of 750W. The graphs 504, 506 indicate that increasing the number of turns in the parasitic coil will decrease the plasma density.
Equivalents
[Para 70] While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art.

Claims

What is clai med i s :
[Claim 1 ] A plasma source comprising: a chamber that contains a process gas, the chamber having a chamber top comprising: a first section formed of a dielectric material that extends in a horizontal direction; a second section formed of a dielectric material that extends a height from the first section in a vertical direction; and a top section formed of a conductive material that extends a length across the second section in the horizontal direction; and a radio frequency antenna that is positioned proximate to at least one of the first section and the second section, the radio frequency antenna inducing radio frequency currents into the chamber that excite and ionize the process gas so as to generate a plasma in the chamber.
[Clai m 2] The plasma source of claim 1 wherein a ratio of the height from the first section in the vertical direction to the length across the second section in the horizontal direction is approximately between 1 .5 and 5.5.
[Clai m 3] The plasma source of claim 1 wherein the radio frequency antenna comprises a planar coil that is positioned proximate to the first section.
[Claim 4] The plasma source of claim 1 wherein the radio frequency antenna comprises a coil that surrounds the second section.
[Clai m 5] The plasma source of claim 1 wherein the radio frequency antenna comprises a planar coil that is positioned adjacent to the first section and a coil that surrounds the second section.
[Claim 6] The plasma source of claim 5 wherein the planar coil that is positioned adjacent to the first section and the coil that surrounds the second section are electrically connected.
[Clai m 7] The plasma source of claim 5 wherein the planar coil that is positioned adjacent to the first section and the coil that surrounds the second section are positioned in electromagnetic communication.
[Clai m 8] The plasma source of claim 1 wherein the radio frequency antenna comprises an active antenna that is electrically coupled to a radio frequency power supply and a parasitic antenna that is electromagnetically coupled to the active antenna.
[Clai m 9] The plasma source of claim 8 wherein one end of the parasitic antenna is electrically coupled to ground potential.
[Clai m 1 0] The plasma source of claim 1 wherein the top section comprises a fluid cooling system that regulates a temperature of the top section.
[Clai m 1 1 ] The plasma source of claim 1 wherein the chamber top is electrically connected to ground potential.
[Clai m 1 2] The plasma source of claim 1 further comprising a platen for holding a target that is positioned adjacent to the top section.
[Clai m 1 3] The plasma source of claim 1 2 further comprising a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a voltage on the platen that attracts ions in the plasma to the target.
[Clai m 1 4] A plasma source comprising: a chamber that contains a process gas, the chamber having a chamber top comprising: a first section formed of a dielectric material that extends in a first direction; a second section formed of a dielectric material that extends a height from the first section in a second direction; and a top section formed of a conductive material that extends a length across the second section; and a radio frequency antenna that is positioned proximate to at least one of the first section and the second section, the radio frequency antenna inducing radio frequency currents into the chamber that excite and ionize the process gas so as to generate a plasma in the chamber.
[Clai m 1 5] The plasma source of claim 1 4 wherein the first section is curved.
[Clai m 1 6] The plasma source of claim 1 4 wherein the first and second directions are not orthogonal.
[Clai m 1 7] A method of generating a uniform plasma, the method comprising: introducing a process gas into a chamber; inducing a radio frequency current through at least one of a horizontal dielectric window and a vertical dielectric window of the chamber, the radio frequency current exciting and ionizing the process gas so as to generate a plasma in the chamber; and biasing a target so that ions in the plasma are attracted to the target, wherein secondary electrons generated when the ions hit the target are dissipated by a top section of the chamber that is formed of a conductive material, thereby reducing charging effects and improving a uniformity of the plasma.
[Claim 1 8] The method of claim 1 7 further comprising cooling the top section of the chamber to dissipate heat generated when the secondary electrons hit the target.
[Clai m 1 9] A method of generating a uniform plasma, the method comprising: introducing a process gas into a chamber; inducing a radio frequency current through one of a horizontal dielectric window and a vertical dielectric window of the chamber, the radio frequency current exciting and ionizing the process gas so as to generate a plasma in the chamber; electromagnetically coupling the induced radio frequency current from the one of the horizontal dielectric window and the vertical dielectric window to the other of the horizontal dielectric window and the vertical dielectric window; and biasing a target so that ions in the plasma are attracted to the target, wherein secondary electrons generated when the ions hit the target are dissipated by a top section of the chamber that is formed of a conductive material, thereby reducing charging effects and improving a uniformity of the plasma.
[Claim 20] The method of claim 1 9 further comprising adjusting the electromagnetic coupling to improve the uniformity of the plasma.
[Claim 21 ] A plasma source comprising: a chamber that contains a process gas, the chamber having a chamber top comprising: a first section formed of a dielectric material that extends in a horizontal direction; a second section formed of a dielectric material that extends a height from the first section in a vertical direction; and a top section formed of a conductive material that extends a length across the second section in the horizontal direction; an anode that is positioned in the chamber adjacent to the top section; and a radio frequency antenna that is positioned proximate to at least one of the first section and the second section, the radio frequency antenna inducing radio frequency currents into the chamber that excite and ionize the process gas so as to generate a plasma in the chamber.
[Clai m 22] The plasma source of claim 21 wherein the position of the anode in the chamber relative to the top section is adjustable.
[Clai m 23] The plasma source of claim 21 wherein the position of the anode in the chamber relative to the top section is chosen to achieve a predetermined plasma uniformity.
[Claim 24] The plasma source of claim 21 wherein a ratio of an area of the anode to an area of the top section is less than one.
[Clai m 25] The plasma source of claim 21 wherein the anode comprises a baffle that disperses the process gas.
[Clai m 26] The plasma source of claim 21 wherein the anode comprises a shower head that dispenses the process gas.
[Clai m 27] The plasma source of claim 21 wherein the anode is electrically connected to ground potential.
[Clai m 28] A method of generating a plasma, the method comprising: introducing a process gas into a chamber; biasing an anode that is positioned in the chamber to emit electrons from the anode; inducing a radio frequency current through a horizontal dielectric window of the chamber; and inducing a radio frequency current through a vertical dielectric window of the chamber, wherein at least one of the electrons emitted by the anode and the induced radio frequency currents exciting and ionizing the process gas to ignite a plasma in the chamber.
[Claim 29] The method of claim 2δ wherein the biasing the anode comprises applying a pulsed direct current to the anode.
[Claim 30] The method of claim 2δ wherein the biasing the anode comprises applying a RF field to the anode.
[Clai m 31 ] The method of claim 2δ wherein the biasing the anode comprises applying a combination of pulsed DC and RF signals.
[Claim 32] The method of claim 2δ further comprising sustaining the plasma with the induced radio frequency currents.
[Claim 33] The method of claim 2δ further comprising sustaining the plasma with the electrons generated from the anode.
PCT/US2005/008709 2004-03-22 2005-03-16 Rf plasma source with conductive top section WO2005093780A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/805,966 2004-03-22
US10/805,966 US20050205211A1 (en) 2004-03-22 2004-03-22 Plasma immersion ion implantion apparatus and method
US10/905,172 2004-12-20
US10/905,172 US20050205212A1 (en) 2004-03-22 2004-12-20 RF Plasma Source With Conductive Top Section

Publications (2)

Publication Number Publication Date
WO2005093780A2 true WO2005093780A2 (en) 2005-10-06
WO2005093780A3 WO2005093780A3 (en) 2006-06-08

Family

ID=34962830

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/008709 WO2005093780A2 (en) 2004-03-22 2005-03-16 Rf plasma source with conductive top section

Country Status (3)

Country Link
US (2) US20050205211A1 (en)
TW (1) TWI423735B (en)
WO (1) WO2005093780A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007087210A1 (en) * 2006-01-24 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Plasma immersion ion source with low effective antenna voltage
EP2012342A2 (en) * 2007-06-26 2009-01-07 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
TWI799776B (en) * 2020-01-07 2023-04-21 大陸商北京北方華創微電子裝備有限公司 Inductive coupling devices and semiconductor processing equipment

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR20070065684A (en) * 2005-12-20 2007-06-25 주식회사 케이씨텍 Antenna for generating plasma and manufacturing method of the same, plasma processing apparatus of the same
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US20080075880A1 (en) * 2006-09-26 2008-03-27 Anthony Renau Non-doping implantation process utilizing a plasma ion implantation system
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20080204795A1 (en) * 2007-02-23 2008-08-28 Samsung Electronics Co., Ltd. Data transmission apparatus and method of controlling the same and method of processing data to be printed onto a printable medium
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
US20090017229A1 (en) * 2007-07-10 2009-01-15 Varian Semiconductor Equipment Associates, Inc. Processing System Platen having a Variable Thermal Conductivity Profile
US20090104761A1 (en) * 2007-10-19 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System With Charge Control
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
US7586100B2 (en) * 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US20090227096A1 (en) * 2008-03-07 2009-09-10 Varian Semiconductor Equipment Associates, Inc. Method Of Forming A Retrograde Material Profile Using Ion Implantation
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
JP2011522381A (en) 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション Plasma-based chemical source apparatus and method of use thereof
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
JP5649153B2 (en) * 2008-07-11 2015-01-07 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
US7927986B2 (en) * 2008-07-22 2011-04-19 Varian Semiconductor Equipment Associates, Inc. Ion implantation with heavy halogenide compounds
US20100048018A1 (en) * 2008-08-25 2010-02-25 Varian Semiconductor Equipment Associates, Inc. Doped Layers for Reducing Electromigration
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
JP5592098B2 (en) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5694721B2 (en) * 2009-10-27 2015-04-01 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101757922B1 (en) * 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 Plamsa processing apparatus
KR101757921B1 (en) * 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and plasma processing method
KR101757920B1 (en) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and plasma processing method
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
TWI424796B (en) * 2010-02-12 2014-01-21 Advanced Micro Fab Equip Inc Plasma processing device with diffusion dissociation region
JP5553460B2 (en) 2010-03-31 2014-07-16 コロラド ステート ユニバーシティー リサーチ ファウンデーション Liquid-gas interface plasma device
JP5781349B2 (en) * 2011-03-30 2015-09-24 東京エレクトロン株式会社 Plasma processing equipment
RU2479668C1 (en) * 2011-10-03 2013-04-20 Валерий Николаевич Пименов Ion-plasma alloying method of product surface
CN106847736B (en) 2011-11-08 2020-08-11 因特瓦克公司 Substrate processing system and method
TWI570745B (en) 2012-12-19 2017-02-11 因特瓦克公司 Grid for plasma ion implant
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8669538B1 (en) * 2013-03-12 2014-03-11 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9736920B2 (en) 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
CN106711007B (en) * 2015-11-17 2018-08-14 中微半导体设备(上海)有限公司 A kind of inductively type plasma processing apparatus
JP2021509525A (en) * 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. Plasma processing equipment and methods
US11120973B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Plasma processing apparatus and techniques
US20210020405A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
US20210343506A1 (en) * 2020-05-01 2021-11-04 Mattson Technology, Inc. Methods And Apparatus For Pulsed Inductively Coupled Plasma For Surface Treatment Processing
CN112376029B (en) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
EP0810816A1 (en) * 1996-05-30 1997-12-03 Applied Materials, Inc. Balanced source for plasma system
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US20030201722A1 (en) * 2002-04-24 2003-10-30 Appleyard Nicholas John Plasma processing apparatus

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US112542A (en) * 1871-03-14 Improvement in powder-flasks
US107906A (en) * 1870-10-04 Improvement in stump-extractors
US85246A (en) * 1868-12-22 Improvement in bolts for safe-doors
US107909A (en) * 1870-10-04 Improvement in projectiles
US149218A (en) * 1874-03-31 Improvement in molds for forming articles of rubber and other material
US107908A (en) * 1870-10-04 Improvement in corn-planters
US38486A (en) * 1863-05-12 Improvement in clutches
JPS6372877A (en) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd Vacuum treatment device
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3279038B2 (en) * 1994-01-31 2002-04-30 ソニー株式会社 Plasma apparatus and plasma processing method using the same
JP3365067B2 (en) * 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JP3424867B2 (en) * 1994-12-06 2003-07-07 富士通株式会社 Plasma processing apparatus and plasma processing method
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH0982495A (en) * 1995-09-18 1997-03-28 Toshiba Corp Plasma producing device and method
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5838111A (en) * 1996-02-27 1998-11-17 Matsushita Electric Industrial Co., Ltd. Plasma generator with antennas attached to top electrodes
JPH09251935A (en) * 1996-03-18 1997-09-22 Applied Materials Inc Plasma igniter, semiconductor producing apparatus using plasma and plasma igniting method for semiconductor device
JP3739137B2 (en) * 1996-06-18 2006-01-25 日本電気株式会社 Plasma generator and surface treatment apparatus using the plasma generator
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
DE19702294A1 (en) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator for plasma immersion ion implantation
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6055928A (en) * 1998-03-02 2000-05-02 Ball Semiconductor, Inc. Plasma immersion ion processor for fabricating semiconductor integrated circuits
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6300227B1 (en) * 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
DE19933841A1 (en) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Device and method for etching a substrate by means of an inductively coupled plasma
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
TW449806B (en) * 2000-06-15 2001-08-11 Nat Science Council Plasma manufacturing method with plasma density feedback control
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
TW533752B (en) * 2001-04-06 2003-05-21 Axcelis Tech Inc Plasma source having supplemental energizer for ion enhancement
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
KR101044366B1 (en) * 2002-06-12 2011-06-29 어플라이드 머티어리얼스, 인코포레이티드 Plasma method and apparatus for processing a substrate
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
EP0810816A1 (en) * 1996-05-30 1997-12-03 Applied Materials, Inc. Balanced source for plasma system
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US20030201722A1 (en) * 2002-04-24 2003-10-30 Appleyard Nicholas John Plasma processing apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007087210A1 (en) * 2006-01-24 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Plasma immersion ion source with low effective antenna voltage
EP2012342A2 (en) * 2007-06-26 2009-01-07 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2012342A3 (en) * 2007-06-26 2010-09-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
TWI799776B (en) * 2020-01-07 2023-04-21 大陸商北京北方華創微電子裝備有限公司 Inductive coupling devices and semiconductor processing equipment

Also Published As

Publication number Publication date
US20050205212A1 (en) 2005-09-22
TW200534751A (en) 2005-10-16
WO2005093780A3 (en) 2006-06-08
US20050205211A1 (en) 2005-09-22
TWI423735B (en) 2014-01-11

Similar Documents

Publication Publication Date Title
US20050205212A1 (en) RF Plasma Source With Conductive Top Section
JP5745843B2 (en) Plasma processing apparatus with enhanced charge neutralization and process control
US9123509B2 (en) Techniques for plasma processing a substrate
US20060236931A1 (en) Tilted Plasma Doping
US20080169183A1 (en) Plasma Source with Liner for Reducing Metal Contamination
EP1230668B1 (en) Plasma processing apparatus for producing uniform process rates
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
EP1758149A1 (en) Microwave plasma generating apparatus
US7820533B2 (en) Multi-step plasma doping with improved dose control
US7777178B2 (en) Plasma generating apparatus and method using neutral beam
US20090065148A1 (en) Methods and apparatus for igniting a low pressure plasma
WO2009055431A1 (en) Plasma doping system with in-situ chamber condition monitoring
US20080075880A1 (en) Non-doping implantation process utilizing a plasma ion implantation system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase