WO2006087893A1 - 基板処理方法および基板処理装置 - Google Patents

基板処理方法および基板処理装置 Download PDF

Info

Publication number
WO2006087893A1
WO2006087893A1 PCT/JP2006/301338 JP2006301338W WO2006087893A1 WO 2006087893 A1 WO2006087893 A1 WO 2006087893A1 JP 2006301338 W JP2006301338 W JP 2006301338W WO 2006087893 A1 WO2006087893 A1 WO 2006087893A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
gas
film
substrate
thin film
Prior art date
Application number
PCT/JP2006/301338
Other languages
English (en)
French (fr)
Inventor
Norikazu Mizuno
Taketoshi Satou
Masanori Sakai
Kazuyuki Okuda
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to JP2007503600A priority Critical patent/JP4734317B2/ja
Publication of WO2006087893A1 publication Critical patent/WO2006087893A1/ja
Priority to US12/429,031 priority patent/US20090205568A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • the present invention relates to a substrate processing method and a substrate processing apparatus, and more particularly to a substrate processing method and a substrate for performing film formation by an ALD (Atomic Layer Deposition) method used when manufacturing a Si semiconductor device.
  • the present invention relates to a processing apparatus.
  • the ALD method supplies two types (or more) of raw material gas used for film formation one by one alternately onto the substrate under certain film formation conditions (temperature, time, etc.). This is a technique for film formation using surface reaction.
  • the chemical reaction used is, for example, in the case of forming a SiN (silicon nitride) film.
  • the gas supply alternately supplies multiple types of reactive gases one by one.
  • film thickness control is controlled by the cycle number of reactive gas supply.
  • a vertical ALD remote plasma apparatus will be described in more detail as an example.
  • the processing chamber is evacuated and the temperature is raised to about 450 ° C in the nitriding process.
  • the film thickness does not fluctuate significantly when the NH irradiation time is 7 seconds or more
  • the standard condition was between 3. This is because, under the conventional conditions, the film stress was not taken into account! /.
  • a main object of the present invention is to provide a substrate processing method and a substrate processing apparatus capable of controlling film stress.
  • Multiple processing gases are alternately supplied to and discharged from a processing chamber that forms a space for processing substrates.
  • a substrate processing method for forming a desired thin film on the substrate
  • the amount of chemical species present in the thin film and dependent on the amount of film stress of the thin film is controlled by controlling the supply time of one processing gas of the plurality of processing gases, whereby the thin film A substrate processing method for controlling the film stress is provided.
  • a film stress control method for controlling a film stress of the thin film by controlling a supply time of one of the plurality of process gases.
  • a processing chamber forming a space for processing a substrate
  • a gas supply unit for supplying a plurality of processing gases into the processing chamber
  • a control unit capable of arbitrarily setting supply times of the plurality of processing gases, and alternately supplying and discharging the plurality of processing gases to the processing chamber to form a desired thin film on the substrate.
  • the control unit sets and controls the supply time of one processing gas among the plurality of processing gases, the amount of chemical species present in the thin film and the film stress of the thin film depends on the amount of the thin film.
  • a substrate processing apparatus for controlling the film stress of the thin film.
  • FIG. 1 is a diagram for explaining the reaction mechanism of ALD.
  • FIG. 2 is a diagram for explaining an ALD growth cycle of a preferred embodiment of the present invention.
  • FIG. 3 is a graph showing the relationship between NH irradiation time, H concentration and C1 concentration.
  • FIG. 4 is a diagram showing the relationship between NH irradiation time and film stress.
  • FIG. 5 is a diagram showing the relationship between DCS irradiation time and film stress.
  • FIG. 6 is a graph showing the temperature dependence of film stress.
  • FIG. 7 is a graph showing the relationship between NH irradiation time and film thickness.
  • FIG. 8 is a schematic longitudinal sectional view for explaining a vertical substrate processing furnace of a substrate processing apparatus according to a preferred embodiment of the present invention.
  • FIG. 9 is a schematic cross-sectional view for explaining a vertical substrate processing furnace of a substrate processing apparatus according to a preferred embodiment of the present invention.
  • FIG. 10 is a schematic perspective view for explaining a substrate processing apparatus according to a preferred embodiment of the present invention.
  • FIG. 11 is a schematic longitudinal sectional view for explaining a substrate processing apparatus according to a preferred embodiment of the present invention.
  • the film stress of the nitride film formed is controlled by controlling the NH supply time in the silicon nitride film (ALD nitride film) formation process by the ALD method.
  • the film stress is controlled by controlling the Cl and H concentrations in the silicon nitride film formed by the ALD method.
  • N purge is performed to prevent mixing of NH and DCS (PRG).
  • Figure 2 shows the conventional cycle and the improvement cycle.
  • NH irradiation time changed to 6 seconds, 9 seconds, 14 seconds
  • Figure 3 shows the results of SIMS measurement of H (hydrogen) and C1 (chlorine) concentrations in the film. Strength H and CI are both reduced by extending NH irradiation time. C1 is the raw material
  • the force taken into the surface from DCS is the surface force desorbed during the NH irradiation process.
  • the film stress depends on the impurity concentration of H and C1 in the film.
  • NiSi alteration and impurity re-diffusion can be suppressed.
  • NiSi is a material used for electrodes for logic-use semiconductors.
  • CoSi cobalt silicide
  • NiSi which has lower resistance, has recently been adopted. The low resistance increases the switching speed, that is, enables miniaturization and high integration, which is an important factor.
  • FIG. 8 is a schematic configuration diagram for explaining a vertical substrate processing furnace that works on the present embodiment.
  • the processing furnace portion is shown in a vertical cross section
  • Fig. 9 is a vertical section related to the present embodiment.
  • FIG. 2 is a schematic configuration diagram for explaining a mold substrate processing furnace, and shows a processing furnace part in a cross section.
  • a reaction tube 203 made of quartz is provided inside a heater 207 as a heating means as a reaction vessel for processing a wafer 200 as a substrate, and the lower end opening of the reaction tube 203 is a seal as a lid.
  • the cap 219 is airtightly closed through an O-ring 220 which is an airtight member.
  • Anti A heat insulating member 208 is provided outside the response tube 203 and the heater 207.
  • the heat insulating member 208 is provided so as to cover the upper end of the heater 207.
  • the processing furnace 202 is formed by at least the heater 207, the heat insulating member 208, the reaction tube 203, and the seal cap 219.
  • a processing chamber 201 is formed by a reaction tube 203, a seal cap 219, and a buffer chamber 237 formed in the reaction tube 203 described later.
  • a boat 217 as a substrate holding means is erected on the seal cap 219 via a quartz cap 218, and the quartz cap 218 is a holding body that holds the boat 217. Then, the boat 217 is inserted into the processing furnace 202.
  • a plurality of wafers 200 to be batch-processed are stacked on the boat 217 in a horizontal posture in multiple stages in the tube axis direction.
  • the heater 207 heats the wafer 200 inserted into the processing furnace 202 to a predetermined temperature.
  • the processing furnace 202 is provided with a plurality of gas supply pipes 232a and 232b as supply pipes for supplying two kinds of gases, here two kinds of gases.
  • the gas supply pipe 232a reacts with the processing chamber 201 via a mass flow controller 241a which is a flow rate control means and a valve 243a which is an on-off valve, and further through a buffer chamber 237 formed in a reaction tube 203 which will be described later.
  • Gas is supplied from a gas supply pipe 232b through a mass flow controller 241b as a flow control means, a valve 243b as an on-off valve, a gas reservoir 247, and a valve 24 3c as an on-off valve.
  • the reaction gas is supplied to the processing chamber 201 via the unit 249.
  • the two gas supply pipes 232a and 232b are prevented from adhering NH C1 as a reaction by-product.
  • a piping heater (not shown) that can be heated to about 120 ° C is installed.
  • the processing chamber 201 is connected to a vacuum pump 246, which is an exhaust means, via a valve 243d by a gas exhaust pipe 231 which is an exhaust pipe for exhausting gas, and is evacuated.
  • the valve 243d is an open / close valve that can open and close the valve to stop evacuation / evacuation of the processing chamber 201, and further adjust the pressure by adjusting the valve opening.
  • gas flows along the loading direction of the wafer 200 on the inner wall above the lower portion of the reaction tube 203.
  • a buffer room 237 which is a distributed space, is provided! Near the edge of the inner wall adjacent to the wafer 200 in the buffer chamber 237, there is a gas supply hole 248a which is a supply hole for supplying gas. Is provided.
  • the gas supply hole 248a opens toward the center of the reaction tube 203.
  • the gas supply holes 248a have the same opening area over a predetermined length from the lower part to the upper part along the stacking direction of the wafers 200, and are further provided at the same opening pitch.
  • a nozzle 233 is also arranged along the stacking direction of the wafer 200 from the lower part to the upper part of the reaction tube 203. It is established.
  • the nozzle 233 is provided with a plurality of gas supply holes 248b that are gas supply holes.
  • the plurality of gas supply holes 248b are disposed along the stacking direction of the wafer 200 over the same predetermined length as in the case of the gas supply holes 248a.
  • a plurality of gas supply holes 248b and a plurality of gas supply holes 248a are arranged in a one-to-one correspondence.
  • the opening area of the gas supply holes 248b may be the same opening pitch from the upstream side to the downstream side with the same opening pitch when the differential pressure between the nother chamber 237 and the processing furnace 202 is small. However, if the differential pressure is large, the opening area should be increased from the upstream side to the downstream side, or the opening pitch should be reduced by / J.
  • the gas ejected from each gas supply hole 248b is ejected from the gas supply hole 248a into the processing chamber 201 after the particle velocity of each gas is relaxed in the buffer chamber 237.
  • the gas ejected from each gas supply hole 248b can be a gas having a uniform flow rate and flow velocity when ejected from each gas supply hole 248a.
  • a rod-shaped electrode 269 having a long and narrow structure and a rod-shaped electrode 270 are disposed so as to be protected by an electrode protection tube 275 that protects the electrode from the upper part to the lower part.
  • one of the rod-shaped electrodes 270 is connected to a high-frequency power source 273 via a matching unit 272, and the other is connected to a ground as a reference potential.
  • plasma is generated in the plasma generation region 224 between the rod-shaped electrode 269 and the rod-shaped electrode 270. Generated.
  • the electrode protection tube 275 has a structure in which each of the rod-shaped electrode 269 and the rod-shaped electrode 270 can be inserted into the buffer chamber 237 while being isolated from the atmosphere of the buffer chamber 237.
  • the inside of the electrode protection tube 275 has the same atmosphere as the outside air (atmosphere)
  • the rod-shaped electrode 269 and the rod-shaped electrode 270 inserted into the electrode protection tube 275 are oxidized by the heating of the heater 207. Therefore, the inside of the electrode protection tube 275 is filled or purged with an inert gas such as nitrogen, and an inert gas purge mechanism is provided to prevent oxidation of the rod-shaped electrode 269 or rod-shaped electrode 270 by suppressing the oxygen concentration sufficiently low. .
  • a gas supply unit 249 is provided on the inner wall of the reaction tube 203 that is rotated about 120 ° from the position of the gas supply hole 248a.
  • This gas supply unit 249 is a supply unit that shares the gas supply species with the buffer chamber 237 when a plurality of types of gases are alternately supplied one by one to the wafer 200 during film formation by the ALD method.
  • the gas supply unit 249 has gas supply holes 248c, which are supply holes for supplying gas at the same pitch, at a position adjacent to the wafer, and a gas supply pipe 232b is connected to the lower part. Yes.
  • the gas supply hole 248c may have the same opening area and the same opening pitch from the upstream side to the downstream side. If it is large, it is better to increase the opening area or reduce the opening pitch by directing the force from the upstream side to the downstream side.
  • a boat 217 for mounting a plurality of wafers 200 in the vertical direction at the same interval in multiple stages.
  • This boat 217 is a boat elevator mechanism not shown in the figure.
  • the reaction tube 203 can be entered and exited.
  • a boat rotation mechanism 267 that is a rotation means for rotating the boat 217 is provided. By rotating the boat rotation mechanism 267, the boat held by the quartz cap 218 is provided. 217 starts to rotate.
  • Controller 321 as a control means includes mass flow controllers 241a and 241b, valves 243a, 243b, 243c and 243d, heater 207, vacuum pump 246, boat rotating mechanism 267, boat elevator 121, high-frequency power supply 273, matching unit 272 connected to the mass flow controller Adjusting the flow rate of rollers 241a and 241b, opening and closing operation of valves 243a, 243b and 243c, opening and closing of valve 24 3d and pressure adjustment operation, temperature adjustment of heater 207, starting and stopping of vacuum pump 246, rotation speed of boat rotation mechanism 267 Adjustment, lift elevator 121 lift control, high frequency electrode 273 power supply control, and matching device 272 impedance control are performed.
  • Controller 321 [Thus, by controlling the opening / closing operation of NOREV 243a, 243b, 243c, NOREV 243d, the supply time of the processing gas supplied from the two gas supply pipes 232a, 232b can be set arbitrarily. Is done.
  • a SiN film is formed using DCS and NH gas.
  • a wafer 200 to be deposited is loaded into a boat 217 and loaded into a processing furnace 202. After loading, repeat steps 4 to 7 in order.
  • valve 243d of the gas exhaust pipe 231 is opened, and the processing chamber 201 is exhausted to 20 Pa or less by the vacuum pump 246.
  • the valve 243b on the upstream side of the gas supply pipe 232b is opened, and the valve 243c on the downstream side is closed to allow DCS to flow.
  • DCS is stored in the gas reservoir 247 provided between the valves 243b and 243c.
  • a predetermined pressure for example, 20000 Pa or more
  • a predetermined amount of DCS have accumulated in the gas reservoir 247
  • the upstream valve 243b is closed, and the DCS is confined in the gas reservoir 247.
  • the apparatus is configured so that the conductance between the gas reservoir 247 and the processing chamber 201 is 1.5 X 10 _3 m 3 Zs or more.
  • the volume ratio of 100 to 300 cc is preferable when the volume of the reaction tube 203 is 1001 (liters).
  • the gas reservoir 247 is preferably 1Z1000 to 3Z1000 times the volume of the reaction chamber.
  • Step 2 when the exhaust of the processing chamber 201 is completed, the valve 243c of the gas exhaust pipe 231 is closed to stop the exhaust. Open the valve 243c on the downstream side of the gas supply pipe 232b. As a result, the DCS stored in the gas reservoir 247 is supplied to the processing chamber 201 at once. At this time, since the valve 243d of the gas exhaust pipe 231 is closed, the pressure in the processing chamber 201 rapidly increases. The pressure is increased to about 931 Pa (7 Torr). The time for supplying DCS was set to 2 to 4 seconds, and then the time for exposure to the increased pressure atmosphere was set to 2 to 4 seconds, for a total of 6 seconds. The wafer temperature at this time is 450 ° C.
  • valve 243c is closed, the valve 243d is opened, and the processing chamber 201 is evacuated to remove the remaining DCS gas.
  • an inert gas such as N is supplied to the processing chamber 201.
  • valve 243b is opened to start supplying DCS to the gas reservoir 247.
  • Step 3 the valve 243a provided in the gas supply pipe 232a and the valve 243d provided in the gas exhaust pipe 231 are both opened, and the NH gas whose flow rate is adjusted by the mass flow controller 243a is supplied from the gas supply pipe 232a to the gas in the nozzle 233. From supply hole 248b to buffer chamber 237
  • the high frequency power is applied from the high frequency power supply 273 via the matching unit 272 between the rod-shaped electrode 269 and the rod-shaped electrode 270, and NH is plasma-excited and supplied to the processing chamber 201 as an active species.
  • valve 243d is appropriately adjusted so that the pressure in the processing chamber 201 is 10 to: LOOPa.
  • NH supply flow rate controlled by mass flow controller 241a is 1000 ⁇ 10000s
  • Wafer 200 is exposed to activated species obtained by plasma excitation of NH
  • the time is 9 or 14 seconds, more than the conventional 6 seconds.
  • the temperature of the heater 207 is set so that the wafer becomes 450 ° C. NH has a high reaction temperature.
  • Step 5 the valve 243a of the gas supply pipe 232a is closed to stop the NH supply.
  • step 6 when the exhaust of the processing chamber 201 is finished, the valve 243c of the gas exhaust pipe 231 is closed to stop the exhaust. Open the valve 243c on the downstream side of the gas supply pipe 232b. As a result, the DCS stored in the gas reservoir 247 is supplied to the processing chamber 201 at once. At this time, since the valve 243d of the gas exhaust pipe 231 is closed, the pressure in the processing chamber 201 is rapidly increased to about 931 Pa (7 Torr). The time for supplying DCS was set to 2 to 4 seconds, and then the time for exposure to the increased pressure atmosphere was set to 2 to 4 seconds, for a total of 6 seconds. At this time, the wafer temperature is 450 ° C, the same as when NH is supplied. With DCS supply,
  • DCS adsorbs on the geological film.
  • step 7 the valve 243c is closed, the valve 243d is opened, and the processing chamber 201 is evacuated to remove the remaining DCS gas. At this time, an inert gas such as N is added to the treatment chamber.
  • Steps 4 to 7 are defined as one cycle, and this cycle is repeated a plurality of times to form a SiN film having a predetermined thickness on the wafer.
  • gas is adsorbed on the surface of the base film.
  • the amount of gas adsorption is proportional to the gas pressure and the gas exposure time. Therefore, in order to adsorb the desired amount of gas in a short time, it is necessary to increase the gas pressure in a short time.
  • the DCS stored in the gas reservoir 247 is instantaneously supplied after the valve 243d is closed, the pressure of the DCS in the processing chamber 201 can be rapidly increased. The desired amount of gas can be absorbed instantaneously.
  • a cassette stage 105 is provided on the front side of the inside of the casing 101 as a holder transfer member for transferring the cassette 100 as a substrate storage container with an external transfer device (not shown).
  • a cassette elevator 115 as an elevating means is provided on the rear side of 105, and a cassette transfer machine 114 as a conveying means is attached to the cassette elevator 115.
  • a cassette shelf 109 as a means for placing the cassette 100 is provided on the rear side of the cassette elevator 115, and a spare cassette shelf 110 is also provided above the cassette stage 105.
  • a clean unit 118 is provided above the spare cassette shelf 110 and is configured to circulate clean air inside the housing 101.
  • a processing furnace 202 is provided above the rear part of the casing 101, and a boat 217 serving as a substrate holding means for holding the wafers 200 as substrates in multiple stages in a horizontal posture is processed below the processing furnace 202.
  • a boat elevator 121 is installed as an elevating means for raising and lowering the furnace 202, and a seal cap 219 as a lid is attached to the tip of an elevating member 122 attached to the boat elevator 121 to support the boat 217 vertically.
  • a transfer elevator 113 as an elevating means is provided between the cassette shelf 109 and a wafer transfer machine 112 as a transfer means is attached to the transfer elevator 113.
  • a furnace opening shirt 116 as a closing means having an opening / closing mechanism and hermetically closing the lower side of the processing furnace 202.
  • the cassette 100 loaded with the wafer 200 is rotated by 90 ° on the set stage 105 so that the wafer 200 is loaded in an upward conveying force cassette stage 105 (not shown) and the wafer 200 is in a horizontal position. Be made. Further, the cassette 100 is transported from the cassette stage 105 to the cassette shelf 109 or the spare cassette shelf 110 by the cooperation of the raising / lowering operation of the cassette elevator 115, the transverse operation, the advance / retreat operation of the cassette transfer machine 114, and the rotation operation.
  • the cassette shelf 109 has a transfer shelf 123 in which the cassette 100 to be transferred by the wafer transfer device 112 is stored.
  • the cassette 100 to which the wafer 200 is transferred is a cassette elevator 115, a cassette transfer. It is transferred to the transfer shelf 123 by the mounting machine 114.
  • the boat 217 When a predetermined number of wafers 200 are transferred to the boat 217, the boat 217 is inserted into the processing furnace 202 by the boat elevator 121, and the processing furnace 202 is hermetically closed by the seal cap 219. The wafer 200 is heated in the hermetically closed processing furnace 202 and the processing gas is supplied into the processing furnace 202 to process the wafer 200.
  • the wafer 200 is transferred from the boat 217 to the cassette 100 of the transfer shelf 123 by the reverse procedure of the above-described operation, and the cassette 100 is transferred by the cassette transfer machine 1 14. It is transferred from the mounting shelf 123 to the cassette stage 105, and is carried out of the casing 101 by an external transfer device (not shown).
  • the furnace logo 116 hermetically closes the lower surface of the processing furnace 202 when the boat 217 is in a lowered state, thereby preventing outside air from being caught in the processing furnace 202.
  • film stress can be controlled.
  • the present invention performs film formation by the ALD method used in manufacturing a Si semiconductor device. It can be particularly suitably used for a substrate processing method and a substrate processing apparatus.

Abstract

 基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出して、基板に所望の薄膜を形成する基板処理方法であって、薄膜中に存在し薄膜の膜ストレスがその存在量に依存する化学種の量を複数の処理ガスの内の一つの処理ガスの供給時間を制御することによって制御し、これにより薄膜の膜ストレスを制御する基板処理方法が開示されている。

Description

明 細 書
基板処理方法および基板処理装置
技術分野
[0001] 本発明は基板処理方法および基板処理装置に関し、特に、 Si半導体デバイスを製 造する際に用いられる ALD (Atomic Layer Deposition:原子層成膜)法による成膜を 行う基板処理方法および基板処理装置に関する。
背景技術
[0002] まず、 ALD法を用いた成膜処理について、簡単に説明する。
ALD法は、ある成膜条件 (温度、時間等)の下で、成膜に用いる 2種類 (またはそれ 以上)の原料となるガスを 1種類ずつ交互に基板上に供給し、 1原子層単位で吸着さ せ、表面反応を利用して成膜を行う手法である。
[0003] 即ち、利用する化学反応は、例えば SiN (窒化珪素)膜形成の場合 ALD法では D
CS (SiH C1、ジクロルシラン)と NH (アンモニア)を用いて 300〜600°Cの低温で
2 2 3
高品質の成膜が可能である。また、ガス供給は、複数種類の反応性ガスを 1種類ず つ交互に供給する。そして、膜厚制御は、反応性ガス供給のサイクル数で制御する。
(例えば、成膜速度が 1AZサイクルとすると、 20Aの膜を形成する場合、処理を 20 サイクル行う。 )
[0004] 縦型 ALDリモートプラズマ装置を例としてさらに詳細に説明する。
[0005] Siウェハ上に ALD法により窒化シリコン膜を成膜するには、その原料に NHと DC
3
S (SiH CI )を用いる。
2 2
[0006] 窒化シリコン膜の成膜手順を以下に示す。
(1)石英ボートにウェハを移載する。この時ウェハは石英製の支持部によって支えら れている。
(2)石英ボートを 300°C度の処理室内へ挿入する。
(3)石英ボートの挿入が終わると処理室内を真空引きし、窒化プロセスの 450°C程度 まで昇温する。
(4) DCSを照射(3秒)→Nパージ(5秒)→プラズマ励起 NH照射(6秒)→Nパー ジ(3秒)を 1サイクルとして所定の膜厚を得るまでサイクルを繰り返す。
(5)処理室内の反応ガスのガス引きを行なうと同時に、処理室温度を 300°C程度ま で降温する。
(6)処理室内を大気圧に復帰し、石英ボートを処理室より引き出す。
[0007] ここで、従来条件の NH照射時間 6秒の理由を説明する。図 7に示すように成膜速
3
度のみを考慮すると、 NH照射時間をむやみに長くすることはスループットにおいて
3
利点ではない。これは、図 7より NH照射時間が 7秒以上では膜厚は大きく変動しな
3
いからである。従って,スループットを考慮して、膜厚が飽和する手前の NH照射時
3 間を標準条件とした。これは従来条件では膜ストレスと 、う点にお 、て考慮して!/、な かったためである。
[0008] 近年の半導体デバイス構造では、歪緩和の目的で 1. 5Gpa程度の膜ストレスが要 求されているが、上記工程を経て成膜された膜ストレスは約 1. 2Gpa程度と目標値よ り低い。
[0009] 従って、本発明の主な目的は、膜ストレスを制御することができる基板処理方法お よび基板処理装置を提供することにある。
発明の開示
[0010] 本発明の一態様によれば、
基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出して
、前記基板に所望の薄膜を形成する基板処理方法であって、
前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存する化学種の量を 前記複数の処理ガスの内の一つの処理ガスの供給時間を制御することによって制御 し、これにより前記薄膜の膜ストレスを制御する基板処理方法が提供される。
[0011] 本発明の他の態様によれば、
基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出する ことによって前記基板に形成される薄膜のストレスを制御する膜ストレス制御方法で あって、
前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存する化学種の量を 前記複数の処理ガスの内の一つの処理ガスの供給時間を制御することによって制御 し、これにより前記薄膜の膜ストレスを制御する膜ストレス制御方法が提供される。
[0012] 本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出する ことによって前記基板に形成される薄膜のストレスを制御する膜ストレス制御方法で あって、
前記複数の処理ガスのうちの一つの処理ガスの供給時間を制御することによって 前記薄膜の膜ストレスを制御する膜ストレス制御方法が提供される。
[0013] 本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室と、
前記処理室内に複数の処理ガスを供給するガス供給部と、
前記処理室内の雰囲気を排出する排出部と、
前記複数の処理ガスの供給時間を任意に設定可能な制御部と、を有し、 前記処理室に対し前記複数の処理ガスを交互に供給、排出させて、前記基板に所 望の薄膜を形成する基板処理装置であって、
前記制御部は、前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存す る化学種の量を前記複数の処理ガスの内の一つの処理ガスの供給時間を設定 ·制 御することによって制御し、これにより前記薄膜の膜ストレスを制御する基板処理装置 が提供される。
図面の簡単な説明
[0014] [図 1]ALDの反応メカニズムを説明するための図である。
[図 2]本発明の好ましい実施例の ALD成長サイクルを説明するための図である。
[図 3]NH照射時間と H濃度および C1濃度との関係を示す図である。
3
[図 4]NH照射時間と膜ストレスとの関係を示す図である。
3
[図 5]DCS照射時間と膜ストレスとの関係を示す図である。
[図 6]膜ストレスの温度依存性を示す図である。
[図 7]NH照射時間と成膜膜厚との関係を示す図である。
3
[図 8]本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明する ための概略縦断面図である。 [図 9]本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明する ための概略横断面図である。
[図 10]本発明の好ましい実施例に係る基板処理装置を説明するための概略斜視図 である。
[図 11]本発明の好ましい実施例に係る基板処理装置を説明するための概略縦断面 図である。
発明を実施するための好ましい形態
[0015] 次に、本発明の好ましい実施例を説明する。
本発明の好ましい実施例では、 ALD法による窒化シリコン膜 (ALD窒化膜)形成プ ロセスにお ヽて NH供給時間を制御することで形成される窒化膜の膜ストレスを制御
3
する。
また、本発明の好ましい実施例では、 ALD法によって形成される窒化シリコン膜の 膜中の Cl、 H濃度を制御することで膜ストレスを制御する。
[0016] 次に、図面を参照して本発明の好ましい実施例をさらに詳細に説明する。
[0017] まず ALDの反応メカニズムについて図 1を参照して説明する。
(1)まず、 DCS照射 (供給)によって、表面に Siと C1が吸着する(DCS)。
(2)次に、 DCSと NHの混合を防ぐため、 Nパージを行う(PRG)。
3 2
(3)次に、励起した NHを照射 (供給)することによって、(1)で吸着した C1が HC1とし
3
て脱離し、 Nと Hが吸着する(NH ) 0
3
(4)次に、 NHと DCSの混合を防ぐため、 Nパージを行う(PRG)。
3 2
所定の膜厚に達するまで、上記(1)〜 (4)のサイクルを繰り返す。
[0018] 上記のように反応が進むので、膜中には ALD窒化膜の主成分である Si、 Nのほか に H、 C1の不純物が取り込まれていく。
[0019] 膜ストレス制御のためにまず、励起した NHの照射時間を変更する実験を行った。
3
図 2に従来のサイクルと改善サイクルを示す。 NH照射時間を 6秒、 9秒、 14秒と変
3
更した。このときの膜ストレスの結果を図 4に示す力 励起した NH照射時間を延ば
3
すことによって、膜ストレスが増加していくことが判明した。
[0020] また、膜中の H (水素)、 C1 (塩素)濃度を SIMSを用いて測定した結果を図 3に示 す力 NH照射時間を延ばすことによって Hと CIは共に低減していく。 C1はその原料
3
である DCSから表面に取り込まれる力 NHを照射する過程で表面力 脱離してい
3
く。従って、 NH照射時間が長いほど C1の脱離効果は高ぐ膜中の C1濃度は低減し
3
ていく。
[0021] 従って、膜ストレスは膜中の H、 C1なる不純物濃度に依存して 、ることがわ力る。
つまり H, C1濃度を制御すること、すなわち、 NH照射時間を制御することで膜スト
3
レスを制御が可能となる。
[0022] なお、一方のガスである DCSの照射時間に対する膜ストレスの依存についても調 查した。図 5に結果を示すが DCS照射時間ではストレスが変化しないことがわかる。 よって膜ストレスは NH照射時間に大きく影響を受けている。
3
[0023] また、温度依存を図 6に示すが温度が高いほど膜ストレスが高ぐかつ C1濃度も低 いことがわかる。膜ストレスだけを考慮すれば、温度の高いプロセス条件が有利であ る力 多くの場合、プロセス温度を変更できない。なぜならば温度をあげることによつ て NiSi (ニッケルシリサイド)の変質、不純物の再拡散と 、つたデメリットが生じるから である。したがって低温で NH照射時間を長くすることは、膜ストレスを上げ、かつ、
3
NiSiの変質、不純物再拡散を抑制できるメリットがある。なお、ここで、 NiSiは、ロジッ ク用途半導体の電極に使われる材料である。従来電極の材料としては CoSi (コバル トシリサイド)が一般的であった力 電極の低抵抗化の要求があり、より 抵抗が低い NiSiが近年採用されている。低抵抗ィ匕することでスイッチング速度が速くなり、すな わち微細化、高集積ィヒが可能となり、重要な要素となっている。
[0024] 次に、図面を参照して、本発明の好ましい実施例で使用される基板処理装置の一 例を説明する。
[0025] 図 8は、本実施例に力かる縦型の基板処理炉を説明するための概略構成図であり 、処理炉部分を縦断面で示し、図 9は本実施例にカゝかる縦型の基板処理炉を説明す るための概略構成図であり、処理炉部分を横断面で示す。
[0026] 加熱手段であるヒータ 207の内側に、基板であるウェハ 200を処理する反応容器と して石英製の反応管 203が設けられ、この反応管 203の下端開口は蓋体であるシー ルキャップ 219により気密部材である Oリング 220を介して気密に閉塞されて 、る。反 応管 203およびヒータ 207の外側には断熱部材 208が設けられている。断熱部材 20 8はヒータ 207の上方端を覆うように設けられている。少なくとも、ヒータ 207、断熱部 材 208、反応管 203、及びシールキャップ 219により処理炉 202を形成している。ま た、反応管 203、シールキャップ 219および後述する反応管 203内に形成されたバッ ファ室 237により処理室 201を形成している。シールキャップ 219には石英キャップ 2 18を介して基板保持手段であるボート 217が立設され、石英キャップ 218はボート 2 17を保持する保持体となっている。そして、ボート 217は処理炉 202に挿入される。 ボート 217にはバッチ処理される複数のウェハ 200が水平姿勢で管軸方向に多段に 垂直方向に積載される。ヒータ 207は処理炉 202に挿入されたウェハ 200を所定の 温度に加熱する。
[0027] そして、処理炉 202へは複数種類、ここでは 2種類のガスを供給する供給管として の 2本のガス供給管 232a、 232b力設けられる。ここではガス供給管 232aからは流 量制御手段であるマスフローコントローラ 241a及び開閉弁であるバルブ 243aを介し 、更に後述する反応管 203内に形成されたバッファ室 237を介して処理室 201に反 応ガスが供給され、ガス供給管 232bからは流量制御手段であるマスフローコント口 ーラ 241b、開閉弁であるバルブ 243b、ガス溜め 247、及び開閉弁であるバルブ 24 3cを介し、更に後述するガス供給部 249を介して処理室 201に反応ガスが供給され る。
[0028] 2本のガス供給管 232a、 232bには、反応副生成物である NH C1の付着を防ぐた
4
めに、 120°C程度まで加熱できる配管ヒータ(図示せず。)を装着している。
[0029] 処理室 201は、ガスを排気する排気管であるガス排気管 231によりバルブ 243dを 介して排気手段である真空ポンプ 246に接続され、真空排気されるようになっている 。尚、このバルブ 243dは弁を開閉して処理室 201の真空排気 ·真空排気停止ができ 、更に弁開度を調節して圧力調整可能になっている開閉弁である。
[0030] 処理室 201を構成している反応管 203の内壁とウェハ 200との間における円弧状 の空間には、反応管 203の下部より上部の内壁にウェハ 200の積載方向に沿って、 ガス分散空間であるバッファ室 237が設けられて!/、る。バッファ室 237のウェハ 200と 隣接する内側の壁の端部近傍にはガスを供給する供給孔であるガス供給孔 248aが 設けられている。このガス供給孔 248aは反応管 203の中心へ向けて開口している。 このガス供給孔 248aは、ウェハ 200の積載方向に沿って下部から上部に所定の長 さにわたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている
[0031] そしてバッファ室 237のガス供給孔 248aが設けられた端部と反対側の端部近傍に は、ノズル 233が、やはり反応管 203の下部より上部にわたりウェハ 200の積載方向 に沿って配設されて 、る。そしてノズル 233にはガスを供給する供給孔であるガス供 給孔 248bが複数設けられている。複数のガス供給孔 248bは、ガス供給孔 248aの 場合と同じ所定の長さにわたってウェハ 200の積載方向に沿って配設されている。そ して、複数のガス供給孔 248bと複数のガス供給孔 248aとをそれぞれ 1対 1で対応さ せて配置している。
[0032] また、ガス供給孔 248bの開口面積は、ノ ッファ室 237と処理炉 202の差圧が小さ い場合には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良 いが、差圧が大きい場合には上流側から下流側に向かって開口面積を大きくするか 、開口ピッチを/ J、さくすると良い。
[0033] ガス供給孔 248bの開口面積や開口ピッチを上流側から下流にかけて調節すること で、まず、各ガス供給孔 248bよりガスの流速の差はある力 流量はほぼ同量である ガスを噴出させる。そしてこの各ガス供給孔 248bから噴出するガスをバッファ室 237 に噴出させてー且導入し、ガスの流速差の均一化を行うことができる。
[0034] すなわち、バッファ室 237において、各ガス供給孔 248bより噴出したガスはバッフ ァ室 237で各ガスの粒子速度が緩和された後、ガス供給孔 248aより処理室 201に噴 出する。この間に、各ガス供給孔 248bより噴出したガスは、各ガス供給孔 248aより 噴出する際には、均一な流量と流速とを有するガスとすることができる。
[0035] さらに、バッファ室 237に、細長い構造を有する棒状電極 269及び棒状電極 270が 上部より下部にわたって電極を保護する保護管である電極保護管 275に保護されて 配設され、この棒状電極 269又は棒状電極 270の!、ずれか一方は整合器 272を介 して高周波電源 273に接続され、他方は基準電位であるアースに接続されている。こ の結果、棒状電極 269及び棒状電極 270間のプラズマ生成領域 224にプラズマが 生成される。
[0036] この電極保護管 275は、棒状電極 269及び棒状電極 270のそれぞれをバッファ室 237の雰囲気と隔離した状態でバッファ室 237に挿入できる構造となっている。ここ で、電極保護管 275の内部は外気 (大気)と同一雰囲気であると、電極保護管 275に それぞれ挿入された棒状電極 269及び棒状電極 270はヒータ 207の加熱で酸化さ れてしまう。そこで、電極保護管 275の内部は窒素などの不活性ガスを充填あるいは パージし、酸素濃度を充分低く抑えて棒状電極 269又は棒状電極 270の酸化を防 止するための不活性ガスパージ機構が設けられる。
[0037] さらに、ガス供給孔 248aの位置より、反応管 203の内周を 120° 程度回った内壁 に、ガス供給部 249が設けられている。このガス供給部 249は、 ALD法による成膜に おいてウェハ 200へ、複数種類のガスを 1種類ずつ交互に供給する際に、バッファ室 237とガス供給種を分担する供給部である。
[0038] このガス供給部 249もバッファ室 237と同様にウェハと隣接する位置に同一ピッチ でガスを供給する供給孔であるガス供給孔 248cを有し、下部ではガス供給管 232b が接続されている。
[0039] ガス供給孔 248cの開口面積はバッファ室 237と処理室 201の差圧が小さい場合 には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良いが、 差圧が大きい場合には上流側から下流側に向力つて開口面積を大きくするか開口ピ ツチを小さくすると良い。
[0040] 反応管 203内の中央部には複数枚のウェハ 200を多段に同一間隔で鉛直方向に 載置するボート 217が設けられており、このボート 217は図中省略のボートエレべ一 タ機構により反応管 203に出入りできるようになつている。また処理の均一性を向上 するためにボート 217を回転するための回転手段であるボート回転機構 267が設け てあり、ボート回転機構 267を回転することにより、石英キャップ 218に保持されたボ ート 217を回転するようになって 、る。
[0041] 制御手段であるコントローラ 321は、マスフローコントローラ 241a、 241b,バルブ 2 43a、 243b, 243c, 243d,ヒータ 207、真空ポンプ 246、ボート回転機構 267、ボー トエレベータ 121、高周波電源 273、整合器 272に接続されており、マスフローコント ローラ 241a、 241bの流量調整、ノ レブ 243a、 243b, 243cの開閉動作、バルブ 24 3dの開閉及び圧力調整動作、ヒータ 207の温度調節、真空ポンプ 246の起動'停止 、ボート回転機構 267の回転速度調節、ボートエレベータ 121の昇降動作制御、高 周波電極 273の電力供給制御、整合器 272によるインピーダンス制御が行われる。 コントローラ 321【こよってノ ノレブ 243a、 243b, 243c, ノ ノレブ 243dの開閉動作を帘 U 御することにより、 2本のガス供給管 232a、 232bからそれぞれ供給される処理ガスの 供給時間は任意に設定される。
[0042] 次に ALD法による成膜例にっ 、て、 DCS及び NHガスを用いて SiN膜を成膜す
3
る例で説明する。
[0043] まず成膜しょうとするウェハ 200をボート 217に装填し、処理炉 202に搬入する。搬 入後、次のステップ 4〜7を順次繰り返し実行する。
[0044] [ステップ 1]
まず、ガス排気管 231のバルブ 243dを開いて真空ポンプ 246により、処理室 201 を 20Pa以下に排気する。
一方では、ガス供給管 232bの上流側のバルブ 243bを開け、下流側のバルブ 243 cを閉めて、 DCSを流すようにする。これによりバルブ 243b、 243c間に設けたガス溜 め 247に DCSを溜める。ガス溜め 247に所定圧(例えば、 20000Pa以上)、所定量 の DCSが溜まったら上流側のバルブ 243bを閉めて、ガス溜め 247に DCSを閉じ込 める。ガス溜め 247と処理室 201との間のコンダクタンスが 1. 5 X 10_3m3Zs以上に なるように装置を構成する。また、反応管 203の容積とこれに対する必要なガス溜め 247の容積との比として考えると、反応管 203の容積 1001 (リットル)の場合において は、 100〜300ccであることが好ましぐ容積比としてはガス溜め 247は反応室容積 の 1Z1000〜3Z1000倍とすることが好ましい。
[0045] [ステップ 2]
ステップ 2では、処理室 201の排気が終わったらガス排気管 231のバルブ 243cを 閉じて排気を止める。ガス供給管 232bの下流側のバルブ 243cを開く。これによりガ ス溜め 247に溜められた DCSが処理室 201に一気に供給される。このときガス排気 管 231のバルブ 243dが閉じられて 、るので、処理室 201内の圧力は急激に上昇し て約 931Pa (7Torr)まで昇圧される。 DCSを供給するための時間は 2〜4秒設定し 、その後上昇した圧力雰囲気中に晒す時間を 2〜4秒に設定し、合計 6秒とした。こ のときのウェハ温度は、 450°Cである。
[0046] [ステップ 3]
その後、バルブ 243cを閉じ、バルブ 243dを開けて処理室 201を真空排気し、残留 する DCSガスを排除する。また、この時には N等の不活性ガスを処理室 201に供給
2
すると、更に残留する DCSの成膜に寄与した後のガスを処理室 201から排除する効 果が高まる。またバルブ 243bを開いてガス溜め 247への DCSの供給を開始する。
[0047] [ステップ 4]
ステップ 3では、ガス供給管 232aに設けたバルブ 243a、及びガス排気管 231に設 けたバルブ 243dを共に開けて、ガス供給管 232aからマスフローコントローラ 243aに より流量調整された NHガスをノズル 233のガス供給孔 248bからバッファ室 237へ
3
噴出し、棒状電極 269及び棒状電極 270間に高周波電源 273から整合器 272を介 して高周波電力を印加して NHをプラズマ励起し、活性種として処理室 201に供給
3
しつつガス排気管 231から排気する。 NHガスをプラズマ励起することにより活性種
3
として流すときは、バルブ 243dを適正に調整して処理室 201内圧力を 10〜: LOOPa とする。マスフローコントローラ 241aで制御する NHの供給流量は 1000〜10000s
3
ccmである。 NHをプラズマ励起することにより得られた活性種にウェハ 200を晒す
3
時間は従来の 6秒よりも多ぐ 9秒または 14秒である。このときのヒータ 207の温度は ウェハが 450°Cになるよう設定してある。 NHは反応温度が高いため、上記ウェハ温
3
度では反応しないので、プラズマ励起することにより活性種として力 流すようにして おり、このためウェハ温度は設定した低 、温度範囲のままで行える。
[0048] この NHをプラズマ励起することにより活性種として供給しているとき、ガス供給管 2
3
32bの上流側のバルブ 243bを開け、下流側のバルブ 243cを閉めて、 DCSも流すよ うにする。これによりノ レブ 243b、 243c間に設けたガス溜め 247に DCSを溜める。 このとき、処理室 201内に流しているガスは NHをプラズマ励起することにより得られ
3
た活性種であり、 DCSは存在しない。したがって、 NHは気相反応を起こすことはな
3
ぐプラズマにより励起され活性種となった NHはウェハ 200上に吸着している DCS と表面反応して、ウェハ 200上に SiN膜が成膜される
[0049] そして、 NHをプラズマ励起することにより得られた活性種にウェハ 200を晒す時
3
間を従来の 6秒よりも多ぐ 9秒または 14秒としているので、 NHを流すことによって
3
形成される膜の膜厚が飽和した後も NHをプラズマ励起することにより得られた活性
3
種を流し続けることになる。また、形成される膜の膜ストレスも増加する。
[0050] [ステップ 5]
ステップ 5では、ガス供給管 232aのバルブ 243aを閉めて、 NHの供給を止めるが
3
、引続きガス溜め 247へ供給を継続する。ガス溜め 247に所定圧、所定量の DCSが 溜まったら上流側のバルブ 243bも閉めて、ガス溜め 247に DCSを閉じ込めておく。 また、ガス排気管 231のバルブ 243dは開いたままにし真空ポンプ 246により、処理 室 201を 20Pa以下に排気し、残留 NHを処理室 201から排除する。また、この時に
3
は N等の不活性ガスを処理室 201に供給すると、更に残留 NHを排除する効果が
2 3
高まる。ガス溜め 247内には、圧力が 20000Pa以上になるように DCSを溜める。
[0051] [ステップ 6]
ステップ 6では、処理室 201の排気が終わったらガス排気管 231のバルブ 243cを 閉じて排気を止める。ガス供給管 232bの下流側のバルブ 243cを開く。これによりガ ス溜め 247に溜められた DCSが処理室 201に一気に供給される。このときガス排気 管 231のバルブ 243dが閉じられて 、るので、処理室 201内の圧力は急激に上昇し て約 931Pa (7Torr)まで昇圧される。 DCSを供給するための時間は 2〜4秒設定し 、その後上昇した圧力雰囲気中に晒す時間を 2〜4秒に設定し、合計 6秒とした。こ のときのウェハ温度は NHの供給時と同じぐ 450°Cである。 DCSの供給により、下
3
地膜上に DCSが吸着する。
[0052] [ステップ 7]
ステップ 7では、バルブ 243cを閉じ、バルブ 243dを開けて処理室 201を真空排気 し、残留する DCSガスを排除する。また、この時には N等の不活性ガスを処理室 20
2
1に供給すると、更に残留する DCSの成膜に寄与した後のガスを処理室 201から排 除する効果が高まる。またバルブ 243bを開いてガス溜め 247への DCSの供給を開 始する。 [0053] 上記ステップ 4〜7を 1サイクルとし、このサイクルを複数回繰り返すことによりウェハ 上に所定膜厚の SiN膜を成膜する。
[0054] なお、 ALD装置では、ガスは下地膜表面に吸着する。このガスの吸着量は、ガスの 圧力、及びガスの暴露時間に比例する。よって、希望する一定量のガスを、短時間で 吸着させるためには、ガスの圧力を短時間で大きくする必要がある。この点で、本実 施例では、バルブ 243dを閉めたうえで、ガス溜め 247内に溜めた DCSを瞬間的に 供給しているので、処理室 201内の DCSの圧力を急激に上げることができ、希望す る一定量のガスを瞬間的に吸着させることができる。
[0055] また、本実施例では、ガス溜め 247に DCSを溜めている間に、 ALD法で必要なス テツプである NHガスをプラズマ励起することにより活性種として供給、及び処理室 2
3
01の排気をしているので、 DCSを溜めるための特別なステップを必要としない。また 、処理室 201内を排気して NHガスを除去している力も DCSを流すので、両者はゥ
3
ェハ 200に向力う途中で反応しない。供給された DCSは、ウェハ 200に吸着してい る NHとのみ有効に反応させることができる。
3
[0056] 次に、図 10、図 11を参照して本実施例の基板処理装置の概略を説明する。
[0057] 筐体 101内部の前面側には、図示しない外部搬送装置との間で基板収納容器とし てのカセット 100の授受を行う保持具授受部材としてのカセットステージ 105が設けら れ、カセットステージ 105の後側には昇降手段としてのカセットエレベータ 115が設け られ、カセットエレベータ 115には搬送手段としてのカセット移載機 114が取りつけら れている。又、カセットエレベータ 115の後側には、カセット 100の載置手段としての カセット棚 109が設けられると共にカセットステージ 105の上方にも予備カセット棚 11 0が設けられて 、る。予備カセット棚 110の上方にはクリーンユニット 118が設けられ クリーンエアを筐体 101の内部を流通させるように構成されて 、る。
[0058] 筐体 101の後部上方には、処理炉 202が設けられ、処理炉 202の下方には基板と してのウェハ 200を水平姿勢で多段に保持する基板保持手段としてのボート 217を 処理炉 202に昇降させる昇降手段としてのボートエレベータ 121が設けられ、ボート エレベータ 121に取りつけられた昇降部材 122の先端部には蓋体としてのシールキ ヤップ 219が取りつけられボート 217を垂直に支持している。ボートエレベータ 121と カセット棚 109との間には昇降手段としての移載エレベータ 113が設けられ、移載ェ レベータ 113には搬送手段としてのウェハ移載機 112が取りつけられている。又、ボ ートエレベータ 121の横には、開閉機構を持ち処理炉 202の下側を気密に閉塞する 閉塞手段としての炉口シャツタ 116が設けられて 、る。
[0059] ウェハ 200が装填されたカセット 100は、図示しない外部搬送装置力 カセットステ ージ 105にウェハ 200が上向き姿勢で搬入され、ウェハ 200が水平姿勢となるよう力 セットステージ 105で 90° 回転させられる。更に、カセット 100は、カセットエレベータ 115の昇降動作、横行動作及びカセット移載機 114の進退動作、回転動作の協働 によりカセットステージ 105からカセット棚 109又は予備カセット棚 110に搬送される。
[0060] カセット棚 109にはウェハ移載機 112の搬送対象となるカセット 100が収納される 移載棚 123があり、ウェハ 200が移載に供されるカセット 100はカセットエレベータ 11 5、カセット移載機 114により移載棚 123に移載される。
[0061] カセット 100が移載棚 123に移載されると、ウェハ移載機 112の進退動作、回転動 作及び移載エレベータ 113の昇降動作の協働により移載棚 123から降下状態のボ 一卜 217【こウエノヽ 200を移載する。
[0062] ボート 217に所定枚数のウェハ 200が移載されるとボートエレベータ 121によりボー ト 217が処理炉 202に挿入され、シールキャップ 219により処理炉 202が気密に閉塞 される。気密に閉塞された処理炉 202内ではウェハ 200が加熱されると共に処理ガ スが処理炉 202内に供給され、ウェハ 200に処理がなされる。
[0063] ウェハ 200への処理が完了すると、ウェハ 200は上記した作動の逆の手順により、 ボート 217から移載棚 123のカセット 100に移載され、カセット 100はカセット移載機 1 14により移載棚 123からカセットステージ 105に移載され、図示しない外部搬送装置 により筐体 101の外部に搬出される。炉ロシャツタ 116は、ボート 217が降下状態の 際に処理炉 202の下面を気密に閉塞し、外気が処理炉 202内に巻き込まれるのを 防止している。
なお、カセット移載機 114等の搬送動作は、搬送制御手段 124により制御される。
[0064] 明細書、特許請求の範囲、図面および要約書を含む 2005年 2月 17日提出の日本 国特許出願 2005— 40471号の開示内容全体は、本国際出願で指定した指定国、 又は選択した選択国の国内法令の許す限り、そのまま引用してここに組み込まれる。
[0065] 種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の 形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定 されるちのである。
産業上の利用可能性
[0066] 以上説明したように、本発明の一形態によれば、膜ストレスを制御することができる その結果、本発明は、 Si半導体デバイスを製造する際に用いられる ALD法による 成膜を行う基板処理方法および基板処理装置に特に好適に利用できる。

Claims

請求の範囲
[1] 基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出して
、前記基板に所望の薄膜を形成する基板処理方法であって、
前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存する化学種の量を 前記複数の処理ガスの内の一つの処理ガスの供給時間を制御することによって制御 し、これにより前記薄膜の膜ストレスを制御する基板処理方法。
[2] 前記複数の処理ガスの内の前記一つの処理ガスの供給時間は、前記一つの処理 ガスを供給することによって形成される前記薄膜の膜厚が飽和する供給時間を超え る供給時間である請求項 1記載の基板処理方法。
[3] 前記複数の処理ガスは、塩素を含むガスと、アンモニアガスであり、前記複数の処 理ガスの内の前記一つの処理ガスはアンモニアガスである請求項 1記載の基板処理 方法。
[4] 前記アンモニアガスは、励起されたアンモニアガスである請求項 3記載の基板処理 方法。
[5] 前記塩素を含むガスは、 Siと塩素を含むガスである請求項 3記載の基板処理方法
[6] 前記複数の処理ガスは、塩素を含むガスと、アンモニアガスであり、前記複数の処 理ガスの内の前記一つの処理ガスはアンモニアガスであり、
前記塩素を含むガスと前記アンモニアガスとを交互に流して、 Atomic Layer Deposi tion法で窒化シリコン膜を形成し、前記アンモニアガスの供給時間を制御することに より、前記窒化シリコン膜のストレスを制御する請求項 1記載の基板処理方法。
[7] 前記アンモニアガスの供給時間を制御することにより、前記窒化シリコン膜中の少 なくとも C1濃度又は H濃度を制御し、 C1濃度又は H濃度を制御することにより窒化シ リコン膜のストレスを制御する請求項 6記載の基板処理方法。
[8] 前記アンモニアガスを、前記アンモニアガスを供給することによって形成される前記 窒化シリコン膜の膜厚が飽和する供給時間を超えて供給する請求項 6記載の基板処 理方法。
[9] 基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出する ことによって前記基板に形成される薄膜のストレスを制御する膜ストレス制御方法で あって、
前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存する化学種の量を 前記複数の処理ガスの内の一つの処理ガスの供給時間を制御することによって制御 し、これにより前記薄膜の膜ストレスを制御する膜ストレス制御方法。
[10] 基板を処理する空間を成す処理室に対し複数の処理ガスを交互に供給、排出する ことによって前記基板に形成される薄膜のストレスを制御する膜ストレス制御方法で あって、
前記複数の処理ガスのうちの一つの処理ガスの供給時間を制御することによって 前記薄膜の膜ストレスを制御する膜ストレス制御方法。
[11] 基板を処理する空間を成す処理室と、
前記処理室内に複数の処理ガスを供給するガス供給部と、
前記処理室内の雰囲気を排出する排出部と、
前記複数の処理ガスの供給時間を任意に設定可能な制御部と、を有し、 前記処理室に対し前記複数の処理ガスを交互に供給、排出させて、前記基板に所 望の薄膜を形成する基板処理装置であって、
前記制御部は、前記薄膜中に存在し前記薄膜の膜ストレスがその存在量に依存す る化学種の量を前記複数の処理ガスの内の一つの処理ガスの供給時間を設定 ·制 御することによって制御し、これにより前記薄膜の膜ストレスを制御する基板処理装置
PCT/JP2006/301338 2005-02-17 2006-01-27 基板処理方法および基板処理装置 WO2006087893A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007503600A JP4734317B2 (ja) 2005-02-17 2006-01-27 基板処理方法および基板処理装置
US12/429,031 US20090205568A1 (en) 2005-02-17 2009-04-23 Substrate processing method and substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005040471 2005-02-17
JP2005-040471 2005-02-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/429,031 Continuation US20090205568A1 (en) 2005-02-17 2009-04-23 Substrate processing method and substrate processing apparatus

Publications (1)

Publication Number Publication Date
WO2006087893A1 true WO2006087893A1 (ja) 2006-08-24

Family

ID=36916304

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/301338 WO2006087893A1 (ja) 2005-02-17 2006-01-27 基板処理方法および基板処理装置

Country Status (3)

Country Link
US (2) US20070292974A1 (ja)
JP (3) JP4734317B2 (ja)
WO (1) WO2006087893A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008073750A2 (en) * 2006-12-08 2008-06-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP2012138641A (ja) * 2012-04-23 2012-07-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2019220575A (ja) * 2018-06-20 2019-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021061429A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6078279B2 (ja) * 2012-09-20 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102175089B1 (ko) * 2018-08-23 2020-11-06 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007700A (ja) * 2001-06-20 2003-01-10 Samsung Electronics Co Ltd トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003092291A (ja) * 2001-09-19 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
WO2003050323A1 (en) * 2001-12-07 2003-06-19 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
KR100498467B1 (ko) * 2002-12-05 2005-07-01 삼성전자주식회사 배기 경로에서의 파우더 생성을 방지할 수 있는 원자층증착 장비
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
TWI334450B (en) * 2004-03-12 2010-12-11 Hitachi Int Electric Inc Wafer treatment device and the manufacturing method of semiconductor device
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI336497B (en) * 2004-10-07 2011-01-21 Hitachi Int Electric Inc Substrate treatment device and manufacturing method for semiconductor device
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4753841B2 (ja) * 2006-11-10 2011-08-24 株式会社日立国際電気 半導体デバイスの製造方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
WO2009037991A1 (ja) * 2007-09-19 2009-03-26 Hitachi Kokusai Electric Inc. クリーニング方法及び基板処理装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5385002B2 (ja) * 2008-06-16 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2010114420A (ja) * 2008-10-07 2010-05-20 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007700A (ja) * 2001-06-20 2003-01-10 Samsung Electronics Co Ltd トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003092291A (ja) * 2001-09-19 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
WO2003050323A1 (en) * 2001-12-07 2003-06-19 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008073750A2 (en) * 2006-12-08 2008-06-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
WO2008073750A3 (en) * 2006-12-08 2009-03-19 Varian Semiconductor Equipment Technique for atomic layer deposition
JP2012138641A (ja) * 2012-04-23 2012-07-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2019220575A (ja) * 2018-06-20 2019-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021061429A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7026200B2 (ja) 2020-12-25 2022-02-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
JP2010263239A (ja) 2010-11-18
JPWO2006087893A1 (ja) 2008-07-03
US20090205568A1 (en) 2009-08-20
JP2010287903A (ja) 2010-12-24
JP5388963B2 (ja) 2014-01-15
US20070292974A1 (en) 2007-12-20
JP4734317B2 (ja) 2011-07-27

Similar Documents

Publication Publication Date Title
JP5388963B2 (ja) 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法
JP5520552B2 (ja) 半導体装置の製造方法及び基板処理装置
JP4361932B2 (ja) 基板処理装置および半導体装置の製造方法
WO2006038659A1 (ja) 基板処理装置および半導体デバイスの製造方法
WO2007111348A1 (ja) 基板処理装置
JP2004281853A (ja) 基板処理装置
JP5568212B2 (ja) 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JPWO2007129568A1 (ja) 基板処理装置
JP4566787B2 (ja) 基板処理装置および半導体装置の製造方法
JP2012114200A (ja) 基板処理装置
JP4242733B2 (ja) 半導体装置の製造方法
JP2005197561A (ja) 基板処理装置
JP4938805B2 (ja) 基板処理装置
JP4434807B2 (ja) 半導体装置の製造方法
JP2005243737A (ja) 基板処理装置
JP4267434B2 (ja) 基板処理装置
JP4509697B2 (ja) 基板処理装置
JP2005167027A (ja) 基板処理装置
JP2006269532A (ja) 半導体デバイスの製造方法
JP2006295032A (ja) 基板処理装置
JP2006216612A (ja) 基板処理装置
JP4936497B2 (ja) 基板処理装置及び基板処理方法
JP2011035191A (ja) 基板処理装置
JP2005251775A (ja) 基板処理装置
JP2005243735A (ja) 基板処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007503600

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 11664282

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06712504

Country of ref document: EP

Kind code of ref document: A1

WWW Wipo information: withdrawn in national office

Ref document number: 6712504

Country of ref document: EP