WO2006098888A2 - Plasma oxidation and removal of oxidized material - Google Patents

Plasma oxidation and removal of oxidized material Download PDF

Info

Publication number
WO2006098888A2
WO2006098888A2 PCT/US2006/007401 US2006007401W WO2006098888A2 WO 2006098888 A2 WO2006098888 A2 WO 2006098888A2 US 2006007401 W US2006007401 W US 2006007401W WO 2006098888 A2 WO2006098888 A2 WO 2006098888A2
Authority
WO
WIPO (PCT)
Prior art keywords
conductive layer
etching
layer
converting
copper
Prior art date
Application number
PCT/US2006/007401
Other languages
French (fr)
Other versions
WO2006098888A3 (en
Inventor
Yunsang Kim
Andrew Bailey, Iii
Hyungsuk Alexander Yoon
Arthur M. Howald
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to CN2006800074117A priority Critical patent/CN101164121B/en
Priority to KR1020137003686A priority patent/KR101376830B1/en
Priority to JP2008500764A priority patent/JP2008536296A/en
Publication of WO2006098888A2 publication Critical patent/WO2006098888A2/en
Publication of WO2006098888A3 publication Critical patent/WO2006098888A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Definitions

  • the present invention relates generally to dual damascene semiconductor manufacturing processes, and more particularly, to methods and systems for planarizing features and layers and controlling surface roughness in a semiconductor manufacturing process.
  • Dual damascene manufacturing processes are becoming more common in semiconductor manufacturing.
  • one or more conductive materials are deposited in previously patterned trenches and vias formed in a semiconductor substrate or films formed on the semiconductor substrate to form the desired electrical circuit interconnects.
  • An excess or overburden portion of the conductive material is often formed.
  • the overburden portion of the conductive material is unnecessary and undesirable and must be removed both to produce a damascene feature and to provide a uniform and planar surface for subsequent processing.
  • CMP chemical mechanical polishing
  • ECP electrochemical polishing
  • etching electrochemical polishing
  • CMP chemical mechanical polishing
  • ECP electrochemical polishing
  • CMP typically has a relatively low throughput, poor uniformity and inability to effectively remove non-conductive material.
  • CMP requires physical contact processes which typically leave conductive residues, or cause corrosion of the various materials, or result in non-uniform removal, and the inability to suitably planarize interconnect and interlevel dielectric (ILD) top surface.
  • CMP can also cause stress related damage (e.g., interlayer delamination, peeling) to remaining interconnect and ILD structures.
  • the CMP-caused stress damage is further exacerbated by the very poor inter-layer adhesion characteristics of the more-recently used materials. Reducing the physical force of the CMP process to reduce the physical stress can often result in unacceptably low throughput rates and other poor process performance parameters.
  • the present invention fills these needs by providing a system and method for etching a conductive layer. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below.
  • One embodiment provides a method of etching a conductive layer includes converting at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer and thereby expose a remaining surface.
  • the remaining surface has an average surface roughness of less than about 10 nm.
  • the conductive layer can include a copper layer or copper alloy layer. Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer. Converting the at least a portion of the conductive layer can include nitriding the at least a portion of the conductive layer.
  • the conductive layer can be formed on an underlying layer.
  • the underlying layer can be formed on a substrate.
  • Converting the at least a portion of the conductive layer can occur substantially simultaneously with etching the conductive layer to substantially remove the converted portion of the conductive layer.
  • Converting the at least a portion of the conductive layer can occur in-situ with etching the conductive layer to substantially remove the converted portion of the conductive layer.
  • Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching with BCl 3 .
  • Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer with an oxidizing mixture including chlorine and oxygen.
  • Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer with an oxidizing mixture including argon and oxygen. Converting the at least a portion of the conductive layer can include converting the at least a portion of the conductive layer at a temperature greater than about 200 degrees C. Converting the at least a portion of the conductive layer can include converting the at least a portion of the conductive layer in a plasma.
  • Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching the conductive layer at a temperature of less than about 150 degrees C. Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching with a dynamic liquid meniscus. Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching the conductive layer in a plasma. [15] The average surface roughness of the remaining surface is less than about 0.04 times a thickness of the converted portion of the conductive layer. Converting the at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer occurs substantially simultaneously.
  • Another embodiment provides a method of etching a copper layer including oxidizing at least a portion of the copper layer with a first plasma in a plasma chamber at a temperature greater than about 200 degrees C.
  • the copper layer is etched to substantially remove the oxidized portion of the copper layer to expose a remaining surface.
  • the remaining surface having an average surface roughness of less than about 10 nm.
  • the copper layer is etched with second plasma in the plasma chamber at a temperature of less than about 100 degrees C.
  • the system includes a plasma chamber.
  • the plasma chamber capable of enclosing a substrate and the substrate having an exposed layer of conductive material.
  • the plasma chamber capable of supporting a first plasma at a temperature of greater than about 200 degrees C. and a second plasma at less than about 100 degrees C.
  • the system also includes a converting species source coupled to the plasma chamber and an etching species source coupled to the plasma chamber.
  • the system also includes a controller coupled to the plasma chamber.
  • the controller is capable of controlling a flow of the converting species and the etching species into the plasma chamber.
  • the controller also includes a recipe.
  • the system can also include a dynamic liquid meniscus etch process chamber.
  • Figure IA shows a patterned semiconductor substrate in a dual damascene process, in accordance with one embodiment of the present invention.
  • Figure IB is a cross-sectional view of layers on a semiconductor substrate, in accordance with one embodiment of the present invention.
  • Figures 1C and ID show the cross-sectional view of the layers on the semiconductor substrate after having a typical etch process applied, in accordance with one embodiment of the present invention.
  • Figures 2A and 2B are cross-sectional views of layers that may be formed on a semiconductor substrate, in accordance with one embodiment of the present invention.
  • FIG. 3 is a flowchart of the method operations of converting and etching a portion of the copper layer, in accordance with one embodiment of the present invention.
  • Figure 4 illustrates a proximity head 420 capable of supporting a dynamic liquid meniscus, in accordance with one embodiment of the present invention.
  • FIG. 5 is a simplified schematic diagram of a system for etching a conductive layer, in accordance with an embodiment of the present invention.
  • Figures 6A-E show a converting and etching process applied to a pattern plating process, in accordance with one embodiment of the present invention.
  • Figures 7A-E show a converting and etching process applied to a patterning process, in accordance with one embodiment of the present invention.
  • Copper and copper alloys are becoming widely used conductive materials in the semiconductor manufacturing processes.
  • many conductive traces and vias are used to form interconnects between devices formed in the semiconductor.
  • Such copper and copper alloy interconnects are often formed in damascene and dual damascene structures.
  • a first layer typically has trenches and via holes formed therein.
  • a conductive material is then filled into and over the trenches and via holes.
  • a significant portion of the conductive material forms an overburden portion that is unevenly distributed over the trenches and via holes. The overburden portion must be removed to eliminate undesirable short circuits and to provide a substantially planar surface for a subsequent semiconductor processes.
  • the overburden portion is removed with a CMP process or similar mechanical means.
  • CMP can impart excess stress to the semiconductor structure and thereby damage the interconnect structures and the underlying layers.
  • Various methods of etching and stress-free planarization include receiving a patterned semiconductor substrate, having a conductive interconnect material filling a plurality of features in the pattern, the conductive interconnect material having an overburden portion having a non-uniformity; forming an additional layer on the overburden portion; and planarizing the additional layer and the overburden portion without imparting mechanical stress to the plurality of features, the additional layer being substantially entirely removed in the planarizing process.
  • Forming the additional layer on the overburden portion can include chemically converting a top surface and a top portion of the overburden portion. Chemically converting a top surface and a top portion of the overburden portion can include exposing the top surface of the overburden portion to a reactant gas.
  • the reactant gas can be a halogen.
  • the additional layer can be a halide reactant product of the overburden portion.
  • Planarizing the additional layer and the overburden portion can include etching the additional layer and at least part of the overburden portion.
  • Planarizing the additional layer and the overburden portion can include an iterative process including etching the additional layer, forming a second additional layer and etching the second additional layer. The iterative process can occur in situ.
  • the conductive interconnect material can include copper.
  • Etching the copper overburden layer can be used to remove and planarize the overburden copper layer.
  • most prior art copper etching processes cause excess surface roughness of the copper etch front (i.e., the remaining copper surface).
  • the excess surface roughness can cause increased device failure probabilities through. multiple pathways.
  • the excess surface roughness promotes formation of voids, electro-migration at the barrier or dielectric cap interface, altered resistivity, excess interconnect and contact resistance at via bottom, to name but a few pathways. Similar problems can also occur in other etch-back approaches including electro-polish and CMP.
  • Figure IA shows a patterned semiconductor substrate 100 in a dual damascene process, in accordance with one embodiment of the present invention.
  • the substrate 100 has been patterned as part of the semiconductor manufacturing process such as a dual damascene manufacturing process.
  • a mask can be used to pattern the substrate 100.
  • the substrate 100 includes a large, somewhat isolated feature 102 (e.g., trench, via, etc.) a smaller, somewhat isolated feature 104 and several features 106 that are densely packed together.
  • a barrier layer 108 is also included.
  • the barrier layer 108 is typically a different material than the substrate 100 or a conductive interconnect material 120.
  • the conductive interconnect material 120 can be copper or copper alloy or other suitable conductive material.
  • An overburden portion 110 of the conductive interconnect material 120 extends above the features 102, 104, 106 and includes corresponding localized variations 124, 116, 118 in thickness of the overburden portion 110. As shown, the larger feature 102 has a corresponding larger decrease in the thickness of the overburden portion 110 as compared to the smaller feature 104, which has a slightly smaller variation in thickness of the overburden portion 110. The densely packed features 106 have a somewhat increased thickness of the overburden portion 110.
  • Typical etch processes etch the overburden portion 112 of the conductive interconnect material 120 at a fairly uniform rate over the entire wafer area and therefore the typical etching process will expose the barrier layer 108 near the large feature 102 before the barrier layer 110 near the densely packed features 106 will be exposed.
  • the overburden portion 112 can be mostly removed and planarized in a stress-free planarization process as described above.
  • FIG. IB is a cross-sectional view of layers 108 and 110 on a semiconductor substrate 100, in accordance with one embodiment of the present invention.
  • the substrate 100 has a first, underlying layer 108 (e.g., a barrier layer or the substrate) with a copper or copper alloy layer 110 (copper layer 110) formed thereon.
  • the copper layer 110 is formed in multiple crystalline structures 110A-110D.
  • Each of the crystalline structures 110A-110D has a different grain structure as indicated by the different directions of cross-hatching.
  • the crystalline structures 110A-110D can also have boundaries formed between each of the crystalline structures.
  • the underlying layer 108 and the copper layer 110 have a combined thickness of h.
  • FIGS 1C and ID show the cross-sectional view of the layers 108 and 110 on the semiconductor substrate 100 after having a typical etch process applied, in accordance with one embodiment of the present invention.
  • the copper layer 110 has been etched and the etch process has formed substantial valleys 112 in the boundaries formed between each of the other crystalline structures 110A-110D.
  • the surface of the copper layer 110 has been etched to a depth of d while the valleys 112 have been etched an additional depth of about d'.
  • the etching process aggressively etched the boundaries to form the valleys 112. As a result, the remaining surface of the copper layer 110 can be excessively rough.
  • the copper layer 110 has been etched.
  • the etch process has unevenly etched the different crystalline structures 110A- HOD due at least in part to the different reaction rates between the etchant chemistry and the orientation of the crystalline structures 110A-110D.
  • the surface of the copper layer 110 has been etched to a depth of d while the valleys 114 have been etched an additional depth of about d".
  • the valleys 114 are formed by the faster first etching rate between the etchant chemistry and the crystalline structures HOA as compared to the slower second etching rate between the etchant chemistry and the crystalline structures HOB and HOC.
  • the remaining surface of the copper layer HO can be excessively rough.
  • the excess roughening of the remaining surface of the copper layer HO can also be caused by a combination of the etchant chemistry etching the boundaries (i.e., as described in Figure 1C) and unevenly etching the different crystalline structures HOA- HOD.
  • Typical excess roughness is in the range of about 10 to about 100 nm after conventional plasma etch back process.
  • a desirable roughness is less than about 10 nm.
  • a plasma conversion and removal of the resulting copper compounds can be used to substantially eliminate the excess surface roughness.
  • the plasma conversion and removal process is a stress free process and therefore eliminates stress related issues such as delamination or via pull out at the barrier/dielectric interface typically encountered in conventional CMP.
  • Figures 2A and 2B are cross-sectional views of layers 108 and 110 that may be formed on a semiconductor substrate 200, in accordance with one embodiment of the present invention.
  • Figure 3 is a flowchart of the method operations 300 of converting and etching a portion of the copper layer 110, in accordance with one embodiment of the present invention. As shown in Figure 2A and in an operation 305, a portion 202 of the surface of the copper layer 110 can be converted to a more uniform material. The portion 202 of the surface of the copper layer 110 can be converted to a halide product as described above.
  • the portion 202 of the surface of the copper layer 110 can be converted to a copper oxide or a copper nitride and combinations thereof.
  • the entire portion 202 substantially consists of a single, uniform material, there are no different orientation crystalline grain structures that have different orientations (e.g., different crystalline structures 110A-110D of Figures 1A-1C above).
  • a subsequent etch process can provide a more uniform etch rate and more uniform etch results.
  • the barrier layer 108 can provide an electrical path between features 102 and 104, as a result, it is often desirable to remove the barrier layer 108.
  • the conversion process 305 can also include converting at least a portion of the underlying layer 108.
  • the underlying layer 108 can be a barrier layer.
  • the barrier layer 108 can also be converted to an oxide or nitride so that both the entire converted copper layer 110 and the converted barrier layer 108 can be removed in a single subsequent etching process.
  • the barrier layer 108 can be converted and removed after the copper layer 110 has been removed.
  • the converting process of operation 305 can be selective to the barrier layer 108.
  • the conductive layer 110 can be copper and the barrier layer 108 can be Tantalum (Ta), Tantalum-Nitride (TaN), Titanium (Ti) or Titanium nitride (TiN) or other suitable material or compounds thereof.
  • the converting process of operation 305 would convert the copper layer 110 down to the barrier layer 108 and then stop due to the converting chemistry used to convert the copper layer having a substantially slower reaction rate (e.g., about 10:1) as compared to the copper.
  • the conversion process 305 can include exposing the copper layer 110 to a mixture of Chlorine and Oxygen.
  • the mixture of Chlorine and Oxygen can include about 50% Chlorine and about 50% Oxygen.
  • the conversion process 305 can include exposing the copper layer 110 to a mixture of about 50% Argon and about 50% Oxygen.
  • the conversion process 305 can include exposing the copper layer 110 to a mixture of about 50% Argon and about 50% Nitrogen.
  • One or more of Xenon, Hydrogen (H 2 ), Chlorine (Cl 2 ), Bromine (Br 2 ) and hydrochloric acid (HCl) can also be mixed with Oxygen in the conversion process 305.
  • the conversion process 305 can include exposing the copper layer 110 to one or more of Carbon monoxide (CO), Carbon dioxide (CO 2 ) or Nitrous oxide (N 2 O) to convert the copper layer 110.
  • CO Carbon monoxide
  • CO 2 Carbon dioxide
  • N 2 O Nitrous oxide
  • the conversion process 305 may be performed with a plasma. Alternatively, the conversion process 305 may be performed without a plasma. The conversion process 305 can be performed at a temperature of between less than about -20 degrees C and greater than about 300 degrees C. The conversion process 305 can be performed in any suitable process chamber. By way of example the conversion process 305 can be performed within a capacitive or inductively coupled plasma chamber operated at a frequency of between less than about 2 MHz and greater than about 27 MHz. The conversion process 305 can be performed within a plasma chamber such as described in U.S. Patent Application No. 10/744,355 filed on December 22, 2003 and entitled "Small Volume Process Chamber with Hot Inner Surfaces," by Bailey III et al., which is incorporated herein by reference in its entirety.
  • the conversion process 305 may be performed at a pressure of between about 1 mTorr to about 1 Torr. The conversion process 305 may require between less than about 20 seconds to more than about 1 minute. The conversion process 305 can convert between less than about 100 nm and more than about 1500 nm of the top surface of the copper layer 110. [50] As shown in Figure 2B and in an operation 310, the converted portion 202 of the surface of the copper layer 110 can be removed in an etch process. The etch process 310 leaves a substantially smooth surface 204 of the copper layer 110 (i.e., the surface 204 has an average surface roughness of less than about 10 nm).
  • An average surface roughness is defined as the average difference between the peaks and valleys in a 35 micron X 35 micron area of the surface 204.
  • the etch process 310 can be performed at a temperature of between less than about -20 degrees C and greater than about 300 degrees C.
  • the etch process 310 can be a wet etch or a plasma etch.
  • the etch process 310 can be performed in-situ in the same plasma chamber in which the conversion process 305 was performed in.
  • the etch process 310 can be a wet etch performed in a wet etch in an etch tank as is well known in the art.
  • the wet etch can also be performed by a dynamic liquid meniscus as described in Figure 4 below.
  • the etch process 310 can be selective to the underlying, non-converted portion of the conductive layer 110.
  • a selected etchant chemistry can remove the copper oxide while not substantially etching the remaining copper.
  • An etching chemistry including BCl 3 is selective 10:1 copper oxide to elemental copper.
  • the etching chemistry including BCl 3 can include a mixture of Argon and BCl 3 .
  • a etchant chemistry including BCl 3 can etch copper oxide at a rate of between about 400 and about 700 nm per minute and an etch rate of elemental copper of only about 60 nm per minute.
  • the etching chemistry can be selective to the barrier layer 108.
  • the average surface roughness of the remaining surface can be a function of the thickness of the converted portion of the conductive layer.
  • the desired thickness of the conductive layer to be removed is about 250 nm
  • the Ar/0 2 process described above can result in the remaining surface having a surface roughness of about IOnm.
  • the average surface roughness is less than about 0.04 times a thickness of the converted portion of the conductive layer in the Ar/O 2 process.
  • the conversion process 305 and etching process 310 can be used iteratively to gradually convert and etch away consecutive portions of the copper 110.
  • the etching process 310 can include etching the converted copper with one or more concentrations of BCI 3 .
  • the BCI 3 can be between about 10% to about 100% of the BCl 3 /Argon mixture during the etching process 310.
  • the substrate 100 can also be heated to a temperature of greater than about 250 degrees C during the etching process 310.
  • a chuck can both support and heat the substrate 100 during the etching process 310.
  • the etching process 310 can have an etching rate of about 100 to about 700 nm per minute.
  • the conversion process 305 and etching process 310 can also be substantially simultaneously.
  • exposing the copper layer 110 to an about 50% Argon and about 50% Oxygen mixture at about 20 degrees C will cause the surface to the converted and etched away substantially simultaneously.
  • the Argon and Oxygen mixture can include of a range of concentrations including between about 2 to about 100% Oxygen and between about 98 to about 0% Argon.
  • the conversion process 305 can occur within a range of less than about -20 degrees C to greater than about 300 degrees C.
  • the substantially simultaneous conversion and etching processes can convert and remove about 10 nm to about 200 nm per minute.
  • the conversion process 305 and the etching process 310 can be performed at different temperatures and pressures in-situ.
  • the conversion process 305 can be applied at temperatures greater than about 200 degrees C and the etching process 310 can be applied at temperatures less than about 100 degrees C. This variation in temperatures can substantially reduce any copper agglomeration of very thin layers of copper such as may be remaining after the bulk of the overburden 110 has been removed.
  • the etching process 310 can also include an about 10% to about 100% BCl 3 Argon mixture with a pressure of between about 5 mT to about 100 mT and at a temperature of less than about 100 degrees C.
  • the plasma can be generated with a top power of between about 500W to about 2000W and a chuck bias of between about IOOW and about 100OW.
  • FIG. 4 illustrates a proximity head 420 capable of supporting a dynamic liquid meniscus 416, in accordance with one embodiment of the present invention.
  • the proximity head 420 moves while in close proximity to the top surface 430a of the wafer 400 to conduct a cleaning, drying, etching or other processing operation.
  • the proximity head 430 may also be utilized to process (e.g., clean, dry, etch, etc.) the bottom surface 430b of the wafer 400.
  • the wafer 400 is rotating so the proximity head 420 may be moved in a linear fashion across the surface 430a of the wafer 400.
  • the dynamic liquid meniscus 416 is also drawn across the surface 430a. In this manner the dynamic liquid meniscus 416 can be moved across the surface 430a of the wafer 400. As the dynamic liquid meniscus 416 can be moved across the surface 430a of the wafer 400 substantially all of the fluid, particles and other loose materials are drawn off of the surface 430a. In this way, the dynamic liquid meniscus 416 substantially dries the surface 430a.
  • the dynamic liquid meniscus 416 is formed in a relatively narrow space between the surface 430a of the wafer 400 and the proximity head 420.
  • the dynamic liquid meniscus 416 is formed by a vacuum 412 through source outlet 404 and a fluid 414 (e.g., deionized water, etchant chemistry, etc.) through the source inlet 406.
  • IPA isopropyl alcohol
  • the IPA 410 reinforces the edges of the dynamic liquid meniscus 416.
  • the dynamic liquid meniscus 416 can support any one or more of several processes.
  • an etching chemistry 416 is used to form the dynamic liquid meniscus 416
  • the dynamic liquid meniscus can support an etching process that can be focused on a particular location and/or conducted across the entire surface 430a.
  • a rinsing fluid e.g., deionized water
  • FIG. 5 is a simplified schematic diagram of a system 500 for etching a conductive layer, in accordance with an embodiment of the present invention.
  • the system 500 includes a first process chamber 502.
  • the first process chamber 502 can be a plasma chamber as described above.
  • the system 500 also includes a controller 510 that is coupled to and capable of controlling the process chamber 502.
  • the controller 510 includes a recipe 512.
  • the recipe 512 includes all of the operating parameters of the first process chamber 502 (e.g., temperatures, pressures, flow rates, process gases, timing, sequencing, etc.) as may be desired to operate the process chamber.
  • the recipe 512 can define the flow rate and pressure of a converting species (or combination of species) from one or more converting species sources 504 that are coupled to the first process chamber 502. Further, the recipe 512 can define the flow rate and pressure of an etching species (or combination of species) from one or more etching species sources 506 that are coupled to the first process chamber 502.
  • the system 500 can also include a second process chamber 520.
  • the second process chamber 520 can be an etch chamber such as a wet etch process chamber or a process chamber for a dynamic liquid meniscus.
  • the second process chamber 520 can also be a separate plasma chamber for performing a plasma etch as described above.
  • FIGS 6A-E show a converting and etching process applied to a pattern plating process, in accordance with one embodiment of the present invention.
  • a substrate 602 has a first layer 604 formed thereon.
  • a seed layer 606 is formed on the first layer 604.
  • the seed layer can be a relatively thin layer of the material (e.g., copper) that will be formed in the pattern as shown in Figure 6B.
  • a pattern 608 is formed by a patterning technique.
  • the pattern 608 can be formed in a photoresist material.
  • a layer of copper 610 has been deposited in the pattern 608.
  • the seed layer assists the adhesion of the copper layer 610.
  • the pattern 608 has been removed.
  • the pattern 608 can be removed by any suitable method.
  • the photoresist material forming the pattern 608 can be removed by exposing the photoresist to the appropriate wavelength of light and then removed in a rinsing/cleaning process. Removing the pattern 608 leaves a pattern of spaces 608A between the copper layer 610 segments. As the photoresist 608 has been removed, portions of the underlying seed layer 606 is again exposed.
  • the conversion process described in Figures 2A-3 above can be applied to convert (e.g. oxidize or nitride) a relatively thin top layer 610A of the copper 610.
  • the exposed portions 606 A of the seed layer 606 can also be converted (e.g. oxidized or nitrided) by the conversion process described in Figures 2A-3 above.
  • the exposed portions 606A of the seed layer 606 can be converted substantially simultaneously as the relatively thin top layer 610A of the copper 610 is converted.
  • FIGS 7A-E show a converting and etching process applied to a patterning process, in accordance with one embodiment of the present invention.
  • a substrate 702 has a first layer 704 formed thereon.
  • a seed layer 706 is formed on the first layer 704.
  • a conductive layer 708 is formed on the seed layer 706.
  • the seed layer 706 can be a relatively thin layer of the material (e.g., copper) of the conductive layer 708.
  • the seed layer 706 can be any other suitable material.
  • the seed layer 706 can assist the adhesion of the copper layer 708.
  • a pattern 710 is formed by a patterning technique.
  • the pattern 710 can be a hard mask.
  • the portions 708A of the conductive layer 708 that are not covered by the pattern 710 are converted (e.g. oxidize or nitride) as described in Figures 2A-3 above.
  • the pattern 710 has been removed.
  • the pattern 710 can be removed by any suitable method.
  • the hard mask material forming the pattern 710 can be removed by chemical mechanical polishing (CMP).
  • the etching process described in Figures 2A-3 above can be used to remove the converted (e.g. oxidized or nitrided) portions 708A of the conductive layer 708. Removing the converted portions 708A of the conductive layer 708 can expose portions of the seed layer 706.
  • the exposed portions 706A of the seed layer 706 can also be converted (e.g. oxidized or nitrided) by the conversion process described in Figures 2A-3 above.
  • the exposed portions 706A of the seed layer 706 can be converted substantially simultaneously as the converted portions 708A of the conductive layer 708 is converted.
  • the converted portions 706A of the seed layer 706 can be removed as described above in Figure 6E. Removing the converted portions 706A of the seed layer 706 removes undesirable conductive interconnects between the copper layer 708A segments.
  • any of the operations described herein that form part of the invention are useful machine operations.
  • the invention also relates to a device or an apparatus for performing these operations.
  • the apparatus may be specially constructed for the required purposes, or it may be a general-purpose computer selectively activated or configured by a computer program stored in the computer.
  • various general-purpose machines may be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.
  • the invention can also be embodied as computer readable code on a computer readable medium.
  • the computer readable medium is any data storage device that can store data which can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices.
  • the computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.

Abstract

A method of etching a conductive layer includes converting at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer and thereby expose a remaining surface. The remaining surface has an average surface roughness of less than about 10 nm. A system for etching a conductive layer is also disclosed.

Description

PLASMA OXIDATION AND REMOVAL OF OXIDIZED MATERIAL
By Inventors:
Yunsang Kim, Andrew D. Bailey HI, Hyungsuk Alexander Yoon and
Arthur M. Howald
BACKGROUND OF THE INVENTION
1. Field of the Invention
[1] The present invention relates generally to dual damascene semiconductor manufacturing processes, and more particularly, to methods and systems for planarizing features and layers and controlling surface roughness in a semiconductor manufacturing process.
2. Description of the Related Art
[2] Dual damascene manufacturing processes are becoming more common in semiconductor manufacturing. In a typical dual damascene manufacturing process, one or more conductive materials are deposited in previously patterned trenches and vias formed in a semiconductor substrate or films formed on the semiconductor substrate to form the desired electrical circuit interconnects. An excess or overburden portion of the conductive material is often formed. The overburden portion of the conductive material is unnecessary and undesirable and must be removed both to produce a damascene feature and to provide a uniform and planar surface for subsequent processing.
[3] The overburden portion of the conductive material is typically removed from the semiconductor substrate through chemical mechanical polishing (CMP) and electrochemical polishing (ECP) (e.g., etching) processes and combinations of CMP and ECP processes. Each of these processes has significant shortfalls. By way of example, ECP typically has a relatively low throughput, poor uniformity and inability to effectively remove non-conductive material. [4] CMP requires physical contact processes which typically leave conductive residues, or cause corrosion of the various materials, or result in non-uniform removal, and the inability to suitably planarize interconnect and interlevel dielectric (ILD) top surface. CMP can also cause stress related damage (e.g., interlayer delamination, peeling) to remaining interconnect and ILD structures. The CMP-caused stress damage is further exacerbated by the very poor inter-layer adhesion characteristics of the more-recently used materials. Reducing the physical force of the CMP process to reduce the physical stress can often result in unacceptably low throughput rates and other poor process performance parameters.
[5] In view of the foregoing, there is a need for an improved system and method to remove at least a portion of a layer and to provide a substantially smooth surface while minimizing physical stress to the remaining features. The improved system and method should be suitable for use in semiconductor manufacturing and should be applicable to processes such as a dual damascene process or other semiconductor manufacturing processes.
SUMMARY OF THE INVENTION
[6] Broadly speaking, the present invention fills these needs by providing a system and method for etching a conductive layer. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below.
[7] One embodiment provides a method of etching a conductive layer includes converting at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer and thereby expose a remaining surface. The remaining surface has an average surface roughness of less than about 10 nm. [8] The conductive layer can include a copper layer or copper alloy layer. Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer. Converting the at least a portion of the conductive layer can include nitriding the at least a portion of the conductive layer.
[9] The conductive layer can be formed on an underlying layer. The underlying layer can be formed on a substrate. The underlying layer can be a barrier layer. Converting the at least a portion of the conductive layer can include converting substantially the entire conductive layer and converting at least a portion of the underlying layer.
[10] Converting the at least a portion of the conductive layer can occur substantially simultaneously with etching the conductive layer to substantially remove the converted portion of the conductive layer.
[11] Converting the at least a portion of the conductive layer can occur in-situ with etching the conductive layer to substantially remove the converted portion of the conductive layer.
[12] Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching with BCl3. Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer with an oxidizing mixture including chlorine and oxygen.
[13] Converting the at least a portion of the conductive layer can include oxidizing the at least a portion of the conductive layer with an oxidizing mixture including argon and oxygen. Converting the at least a portion of the conductive layer can include converting the at least a portion of the conductive layer at a temperature greater than about 200 degrees C. Converting the at least a portion of the conductive layer can include converting the at least a portion of the conductive layer in a plasma.
[14] Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching the conductive layer at a temperature of less than about 150 degrees C. Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching with a dynamic liquid meniscus. Etching the conductive layer to substantially remove the converted portion of the conductive layer can include etching the conductive layer in a plasma. [15] The average surface roughness of the remaining surface is less than about 0.04 times a thickness of the converted portion of the conductive layer. Converting the at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer occurs substantially simultaneously.
[16] Another embodiment provides a method of etching a copper layer including oxidizing at least a portion of the copper layer with a first plasma in a plasma chamber at a temperature greater than about 200 degrees C. The copper layer is etched to substantially remove the oxidized portion of the copper layer to expose a remaining surface. The remaining surface having an average surface roughness of less than about 10 nm. The copper layer is etched with second plasma in the plasma chamber at a temperature of less than about 100 degrees C.
[17] Yet another embodiment provides a system for etching a conductive layer. The system includes a plasma chamber. The plasma chamber capable of enclosing a substrate and the substrate having an exposed layer of conductive material. The plasma chamber capable of supporting a first plasma at a temperature of greater than about 200 degrees C. and a second plasma at less than about 100 degrees C. The system also includes a converting species source coupled to the plasma chamber and an etching species source coupled to the plasma chamber. The system also includes a controller coupled to the plasma chamber. The controller is capable of controlling a flow of the converting species and the etching species into the plasma chamber. The controller also includes a recipe. Optionally, the system can also include a dynamic liquid meniscus etch process chamber.
[18] Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
[19] The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings.
[20] Figure IA shows a patterned semiconductor substrate in a dual damascene process, in accordance with one embodiment of the present invention. [21] Figure IB is a cross-sectional view of layers on a semiconductor substrate, in accordance with one embodiment of the present invention.
[22] Figures 1C and ID show the cross-sectional view of the layers on the semiconductor substrate after having a typical etch process applied, in accordance with one embodiment of the present invention.
[23] Figures 2A and 2B are cross-sectional views of layers that may be formed on a semiconductor substrate, in accordance with one embodiment of the present invention.
[24] Figure 3 is a flowchart of the method operations of converting and etching a portion of the copper layer, in accordance with one embodiment of the present invention.
[25] Figure 4 illustrates a proximity head 420 capable of supporting a dynamic liquid meniscus, in accordance with one embodiment of the present invention.
[26] Figure 5 is a simplified schematic diagram of a system for etching a conductive layer, in accordance with an embodiment of the present invention.
[27] Figures 6A-E show a converting and etching process applied to a pattern plating process, in accordance with one embodiment of the present invention.
[28] Figures 7A-E show a converting and etching process applied to a patterning process, in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
[29] Several exemplary embodiments for an improved system and method of etching copper layers will now be described. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
[30] Copper and copper alloys are becoming widely used conductive materials in the semiconductor manufacturing processes. By way of example, many conductive traces and vias are used to form interconnects between devices formed in the semiconductor. Such copper and copper alloy interconnects are often formed in damascene and dual damascene structures. [31] A first layer typically has trenches and via holes formed therein. A conductive material is then filled into and over the trenches and via holes. As a result, a significant portion of the conductive material forms an overburden portion that is unevenly distributed over the trenches and via holes. The overburden portion must be removed to eliminate undesirable short circuits and to provide a substantially planar surface for a subsequent semiconductor processes.
[32] Typically, the overburden portion is removed with a CMP process or similar mechanical means. Unfortunately, as described above, CMP can impart excess stress to the semiconductor structure and thereby damage the interconnect structures and the underlying layers.
[33] Various methods of etching and stress-free planarization include receiving a patterned semiconductor substrate, having a conductive interconnect material filling a plurality of features in the pattern, the conductive interconnect material having an overburden portion having a non-uniformity; forming an additional layer on the overburden portion; and planarizing the additional layer and the overburden portion without imparting mechanical stress to the plurality of features, the additional layer being substantially entirely removed in the planarizing process. Forming the additional layer on the overburden portion can include chemically converting a top surface and a top portion of the overburden portion. Chemically converting a top surface and a top portion of the overburden portion can include exposing the top surface of the overburden portion to a reactant gas. The reactant gas can be a halogen. The additional layer can be a halide reactant product of the overburden portion. Planarizing the additional layer and the overburden portion can include etching the additional layer and at least part of the overburden portion. Planarizing the additional layer and the overburden portion can include an iterative process including etching the additional layer, forming a second additional layer and etching the second additional layer. The iterative process can occur in situ. The conductive interconnect material can include copper.
[34] Etching the copper overburden layer can be used to remove and planarize the overburden copper layer. Unfortunately most prior art copper etching processes cause excess surface roughness of the copper etch front (i.e., the remaining copper surface). The excess surface roughness can cause increased device failure probabilities through. multiple pathways. By way of example, the excess surface roughness promotes formation of voids, electro-migration at the barrier or dielectric cap interface, altered resistivity, excess interconnect and contact resistance at via bottom, to name but a few pathways. Similar problems can also occur in other etch-back approaches including electro-polish and CMP.
[35] Figure IA shows a patterned semiconductor substrate 100 in a dual damascene process, in accordance with one embodiment of the present invention. The substrate 100 has been patterned as part of the semiconductor manufacturing process such as a dual damascene manufacturing process. A mask can be used to pattern the substrate 100. The substrate 100 includes a large, somewhat isolated feature 102 (e.g., trench, via, etc.) a smaller, somewhat isolated feature 104 and several features 106 that are densely packed together. A barrier layer 108 is also included. The barrier layer 108 is typically a different material than the substrate 100 or a conductive interconnect material 120. The conductive interconnect material 120 can be copper or copper alloy or other suitable conductive material.
[36] An overburden portion 110 of the conductive interconnect material 120 extends above the features 102, 104, 106 and includes corresponding localized variations 124, 116, 118 in thickness of the overburden portion 110. As shown, the larger feature 102 has a corresponding larger decrease in the thickness of the overburden portion 110 as compared to the smaller feature 104, which has a slightly smaller variation in thickness of the overburden portion 110. The densely packed features 106 have a somewhat increased thickness of the overburden portion 110.
[37] Typical etch processes etch the overburden portion 112 of the conductive interconnect material 120 at a fairly uniform rate over the entire wafer area and therefore the typical etching process will expose the barrier layer 108 near the large feature 102 before the barrier layer 110 near the densely packed features 106 will be exposed. The overburden portion 112 can be mostly removed and planarized in a stress-free planarization process as described above.
[38] Figure IB is a cross-sectional view of layers 108 and 110 on a semiconductor substrate 100, in accordance with one embodiment of the present invention. The substrate 100 has a first, underlying layer 108 (e.g., a barrier layer or the substrate) with a copper or copper alloy layer 110 (copper layer 110) formed thereon. The copper layer 110 is formed in multiple crystalline structures 110A-110D. Each of the crystalline structures 110A-110D has a different grain structure as indicated by the different directions of cross-hatching. The crystalline structures 110A-110D can also have boundaries formed between each of the crystalline structures. The underlying layer 108 and the copper layer 110 have a combined thickness of h.
[39] Figures 1C and ID show the cross-sectional view of the layers 108 and 110 on the semiconductor substrate 100 after having a typical etch process applied, in accordance with one embodiment of the present invention. Referring first to Figure 1C, the copper layer 110 has been etched and the etch process has formed substantial valleys 112 in the boundaries formed between each of the other crystalline structures 110A-110D. By way of example, the surface of the copper layer 110 has been etched to a depth of d while the valleys 112 have been etched an additional depth of about d'. The etching process aggressively etched the boundaries to form the valleys 112. As a result, the remaining surface of the copper layer 110 can be excessively rough.
[40] Referring now to Figure ID, the copper layer 110 has been etched. The etch process has unevenly etched the different crystalline structures 110A- HOD due at least in part to the different reaction rates between the etchant chemistry and the orientation of the crystalline structures 110A-110D. As a result, the surface of the copper layer 110 has been etched to a depth of d while the valleys 114 have been etched an additional depth of about d". The valleys 114 are formed by the faster first etching rate between the etchant chemistry and the crystalline structures HOA as compared to the slower second etching rate between the etchant chemistry and the crystalline structures HOB and HOC. As a result, the remaining surface of the copper layer HO can be excessively rough.
[41] The excess roughening of the remaining surface of the copper layer HO can also be caused by a combination of the etchant chemistry etching the boundaries (i.e., as described in Figure 1C) and unevenly etching the different crystalline structures HOA- HOD. Typical excess roughness is in the range of about 10 to about 100 nm after conventional plasma etch back process. A desirable roughness is less than about 10 nm. [42] A plasma conversion and removal of the resulting copper compounds can be used to substantially eliminate the excess surface roughness. The plasma conversion and removal process is a stress free process and therefore eliminates stress related issues such as delamination or via pull out at the barrier/dielectric interface typically encountered in conventional CMP.
[43] Figures 2A and 2B are cross-sectional views of layers 108 and 110 that may be formed on a semiconductor substrate 200, in accordance with one embodiment of the present invention. Figure 3 is a flowchart of the method operations 300 of converting and etching a portion of the copper layer 110, in accordance with one embodiment of the present invention. As shown in Figure 2A and in an operation 305, a portion 202 of the surface of the copper layer 110 can be converted to a more uniform material. The portion 202 of the surface of the copper layer 110 can be converted to a halide product as described above.
[44] By way of example, the portion 202 of the surface of the copper layer 110 can be converted to a copper oxide or a copper nitride and combinations thereof. As the entire portion 202 substantially consists of a single, uniform material, there are no different orientation crystalline grain structures that have different orientations (e.g., different crystalline structures 110A-110D of Figures 1A-1C above). As a result, a subsequent etch process can provide a more uniform etch rate and more uniform etch results.
[45] Referring again to Figure IA above, if the barrier layer 108 is a conductive material, then the barrier layer 108 can provide an electrical path between features 102 and 104, as a result, it is often desirable to remove the barrier layer 108. The conversion process 305 can also include converting at least a portion of the underlying layer 108. By way of example, the underlying layer 108 can be a barrier layer. The barrier layer 108 can also be converted to an oxide or nitride so that both the entire converted copper layer 110 and the converted barrier layer 108 can be removed in a single subsequent etching process. Alternatively, the barrier layer 108 can be converted and removed after the copper layer 110 has been removed.
[46] In yet another alternative, the converting process of operation 305 can be selective to the barrier layer 108. By way of example, the conductive layer 110 can be copper and the barrier layer 108 can be Tantalum (Ta), Tantalum-Nitride (TaN), Titanium (Ti) or Titanium nitride (TiN) or other suitable material or compounds thereof. The converting process of operation 305 would convert the copper layer 110 down to the barrier layer 108 and then stop due to the converting chemistry used to convert the copper layer having a substantially slower reaction rate (e.g., about 10:1) as compared to the copper.
[47] The conversion process 305 can include exposing the copper layer 110 to a mixture of Chlorine and Oxygen. By way of example, the mixture of Chlorine and Oxygen can include about 50% Chlorine and about 50% Oxygen. Alternatively, the conversion process 305 can include exposing the copper layer 110 to a mixture of about 50% Argon and about 50% Oxygen. Alternatively, the conversion process 305 can include exposing the copper layer 110 to a mixture of about 50% Argon and about 50% Nitrogen. One or more of Xenon, Hydrogen (H2), Chlorine (Cl2), Bromine (Br2) and hydrochloric acid (HCl) can also be mixed with Oxygen in the conversion process 305. In yet another alternative, the conversion process 305 can include exposing the copper layer 110 to one or more of Carbon monoxide (CO), Carbon dioxide (CO2) or Nitrous oxide (N2O) to convert the copper layer 110.
[48] The conversion process 305 may be performed with a plasma. Alternatively, the conversion process 305 may be performed without a plasma. The conversion process 305 can be performed at a temperature of between less than about -20 degrees C and greater than about 300 degrees C. The conversion process 305 can be performed in any suitable process chamber. By way of example the conversion process 305 can be performed within a capacitive or inductively coupled plasma chamber operated at a frequency of between less than about 2 MHz and greater than about 27 MHz. The conversion process 305 can be performed within a plasma chamber such as described in U.S. Patent Application No. 10/744,355 filed on December 22, 2003 and entitled "Small Volume Process Chamber with Hot Inner Surfaces," by Bailey III et al., which is incorporated herein by reference in its entirety.
[49] The conversion process 305 may be performed at a pressure of between about 1 mTorr to about 1 Torr. The conversion process 305 may require between less than about 20 seconds to more than about 1 minute. The conversion process 305 can convert between less than about 100 nm and more than about 1500 nm of the top surface of the copper layer 110. [50] As shown in Figure 2B and in an operation 310, the converted portion 202 of the surface of the copper layer 110 can be removed in an etch process. The etch process 310 leaves a substantially smooth surface 204 of the copper layer 110 (i.e., the surface 204 has an average surface roughness of less than about 10 nm). An average surface roughness is defined as the average difference between the peaks and valleys in a 35 micron X 35 micron area of the surface 204. The etch process 310 can be performed at a temperature of between less than about -20 degrees C and greater than about 300 degrees C.
[51] The etch process 310 can be a wet etch or a plasma etch. By way of example, the etch process 310 can be performed in-situ in the same plasma chamber in which the conversion process 305 was performed in. Alternatively, the etch process 310 can be a wet etch performed in a wet etch in an etch tank as is well known in the art. The wet etch can also be performed by a dynamic liquid meniscus as described in Figure 4 below.
[52] The etch process 310 can be selective to the underlying, non-converted portion of the conductive layer 110. By way of example, if the converted portion 202 is converted to copper oxide and the remaining copper layer remains, then a selected etchant chemistry can remove the copper oxide while not substantially etching the remaining copper. An etching chemistry including BCl3 is selective 10:1 copper oxide to elemental copper. The etching chemistry including BCl3 can include a mixture of Argon and BCl3. By way of example a etchant chemistry including BCl3 can etch copper oxide at a rate of between about 400 and about 700 nm per minute and an etch rate of elemental copper of only about 60 nm per minute. Alternatively, the etching chemistry can be selective to the barrier layer 108.
[53] In one or more of the above-described embodiments, the average surface roughness of the remaining surface can be a function of the thickness of the converted portion of the conductive layer. By way of example, if the desired thickness of the conductive layer to be removed is about 250 nm, then the Ar/02 process described above can result in the remaining surface having a surface roughness of about IOnm. Restated, the average surface roughness is less than about 0.04 times a thickness of the converted portion of the conductive layer in the Ar/O2 process.
[54] The conversion process 305 and etching process 310 can be used iteratively to gradually convert and etch away consecutive portions of the copper 110. [55] The etching process 310 can include etching the converted copper with one or more concentrations of BCI3. By way of example, the BCI3 can be between about 10% to about 100% of the BCl3/Argon mixture during the etching process 310. The substrate 100 can also be heated to a temperature of greater than about 250 degrees C during the etching process 310. By way of example, a chuck can both support and heat the substrate 100 during the etching process 310. The etching process 310 can have an etching rate of about 100 to about 700 nm per minute.
[56] The conversion process 305 and etching process 310 can also be substantially simultaneously. By way of example, exposing the copper layer 110 to an about 50% Argon and about 50% Oxygen mixture at about 20 degrees C will cause the surface to the converted and etched away substantially simultaneously. Alternatively, the Argon and Oxygen mixture can include of a range of concentrations including between about 2 to about 100% Oxygen and between about 98 to about 0% Argon. The conversion process 305 can occur within a range of less than about -20 degrees C to greater than about 300 degrees C. The substantially simultaneous conversion and etching processes can convert and remove about 10 nm to about 200 nm per minute.
[57] The conversion process 305 and the etching process 310 can be performed at different temperatures and pressures in-situ. By way of example, the conversion process 305 can be applied at temperatures greater than about 200 degrees C and the etching process 310 can be applied at temperatures less than about 100 degrees C. This variation in temperatures can substantially reduce any copper agglomeration of very thin layers of copper such as may be remaining after the bulk of the overburden 110 has been removed.
[58] The etching process 310 can also include an about 10% to about 100% BCl3 Argon mixture with a pressure of between about 5 mT to about 100 mT and at a temperature of less than about 100 degrees C. The plasma can be generated with a top power of between about 500W to about 2000W and a chuck bias of between about IOOW and about 100OW.
[59] Figure 4 illustrates a proximity head 420 capable of supporting a dynamic liquid meniscus 416, in accordance with one embodiment of the present invention. The proximity head 420, in one embodiment, moves while in close proximity to the top surface 430a of the wafer 400 to conduct a cleaning, drying, etching or other processing operation. It should be appreciated that the proximity head 430 may also be utilized to process (e.g., clean, dry, etch, etc.) the bottom surface 430b of the wafer 400. In one embodiment, the wafer 400 is rotating so the proximity head 420 may be moved in a linear fashion across the surface 430a of the wafer 400. As the proximity head is moved across the surface 430a of the wafer 400, the dynamic liquid meniscus 416 is also drawn across the surface 430a. In this manner the dynamic liquid meniscus 416 can be moved across the surface 430a of the wafer 400. As the dynamic liquid meniscus 416 can be moved across the surface 430a of the wafer 400 substantially all of the fluid, particles and other loose materials are drawn off of the surface 430a. In this way, the dynamic liquid meniscus 416 substantially dries the surface 430a.
[60] The dynamic liquid meniscus 416 is formed in a relatively narrow space between the surface 430a of the wafer 400 and the proximity head 420. The dynamic liquid meniscus 416 is formed by a vacuum 412 through source outlet 404 and a fluid 414 (e.g., deionized water, etchant chemistry, etc.) through the source inlet 406. IPA (isopropyl alcohol) 410 can be added through inlet ports 402 to assist the formation of the dynamic liquid meniscus 416. The IPA 410 reinforces the edges of the dynamic liquid meniscus 416.
[61] The dynamic liquid meniscus 416 can support any one or more of several processes. By way of example, if an etching chemistry 416 is used to form the dynamic liquid meniscus 416, then the dynamic liquid meniscus can support an etching process that can be focused on a particular location and/or conducted across the entire surface 430a. Similarly, a rinsing fluid (e.g., deionized water) can be used to form the dynamic liquid meniscus 416 and rinse and dry the surface 430a in a single pass.
[62] Figure 5 is a simplified schematic diagram of a system 500 for etching a conductive layer, in accordance with an embodiment of the present invention. The system 500 includes a first process chamber 502. The first process chamber 502 can be a plasma chamber as described above. The system 500 also includes a controller 510 that is coupled to and capable of controlling the process chamber 502. The controller 510 includes a recipe 512. The recipe 512 includes all of the operating parameters of the first process chamber 502 (e.g., temperatures, pressures, flow rates, process gases, timing, sequencing, etc.) as may be desired to operate the process chamber. By way of example the recipe 512 can define the flow rate and pressure of a converting species (or combination of species) from one or more converting species sources 504 that are coupled to the first process chamber 502. Further, the recipe 512 can define the flow rate and pressure of an etching species (or combination of species) from one or more etching species sources 506 that are coupled to the first process chamber 502.
[63] The system 500 can also include a second process chamber 520. The second process chamber 520 can be an etch chamber such as a wet etch process chamber or a process chamber for a dynamic liquid meniscus. The second process chamber 520 can also be a separate plasma chamber for performing a plasma etch as described above.
[64] The above embodiments of converting and etching one or more conductive layers (e.g., a copper layer and/or a barrier underlying layer) have been described in the exemplary context of a copper-etch back process. It should be understood that substantially similar process could also be applied to a pattern plating process and a patterning process.
[65] Figures 6A-E show a converting and etching process applied to a pattern plating process, in accordance with one embodiment of the present invention. Referring first to Figure 6A, a substrate 602 has a first layer 604 formed thereon. A seed layer 606 is formed on the first layer 604. The seed layer can be a relatively thin layer of the material (e.g., copper) that will be formed in the pattern as shown in Figure 6B. A pattern 608 is formed by a patterning technique. The pattern 608 can be formed in a photoresist material.
[66] Referring now to Figure 6B a layer of copper 610 has been deposited in the pattern 608. The seed layer assists the adhesion of the copper layer 610. As shown in Figure 6C, the pattern 608 has been removed. The pattern 608 can be removed by any suitable method. By way of example, the photoresist material forming the pattern 608 can be removed by exposing the photoresist to the appropriate wavelength of light and then removed in a rinsing/cleaning process. Removing the pattern 608 leaves a pattern of spaces 608A between the copper layer 610 segments. As the photoresist 608 has been removed, portions of the underlying seed layer 606 is again exposed. [67] Referring now to Figure 6D, the conversion process described in Figures 2A-3 above can be applied to convert (e.g. oxidize or nitride) a relatively thin top layer 610A of the copper 610. The exposed portions 606 A of the seed layer 606 can also be converted (e.g. oxidized or nitrided) by the conversion process described in Figures 2A-3 above. The exposed portions 606A of the seed layer 606 can be converted substantially simultaneously as the relatively thin top layer 610A of the copper 610 is converted.
[68] Turning now to Figure 6E, the etching process has removed the converted relatively thin top layer 610A and the converted portions 606A of the seed layer 606. As a result, the pattern of spaces 608B between the copper layer 610 segments extends through to the first layer 604. Removing the converted portions 606A of the seed layer 606 removes undesirable conductive interconnects between the copper layer 610 segments.
[69] Figures 7A-E show a converting and etching process applied to a patterning process, in accordance with one embodiment of the present invention. Referring now to Figure 7A, a substrate 702 has a first layer 704 formed thereon. A seed layer 706 is formed on the first layer 704. A conductive layer 708 is formed on the seed layer 706. The seed layer 706 can be a relatively thin layer of the material (e.g., copper) of the conductive layer 708. The seed layer 706 can be any other suitable material. The seed layer 706 can assist the adhesion of the copper layer 708. A pattern 710 is formed by a patterning technique. The pattern 710 can be a hard mask.
[70] Referring now to Figure 7B the portions 708A of the conductive layer 708 that are not covered by the pattern 710 are converted (e.g. oxidize or nitride) as described in Figures 2A-3 above. As shown in Figure 7C, the pattern 710 has been removed. The pattern 710 can be removed by any suitable method. By way of example, the hard mask material forming the pattern 710 can be removed by chemical mechanical polishing (CMP).
[71] Referring now to Figure 7D, the etching process described in Figures 2A-3 above can be used to remove the converted (e.g. oxidized or nitrided) portions 708A of the conductive layer 708. Removing the converted portions 708A of the conductive layer 708 can expose portions of the seed layer 706. [72] Referring now to Figure 7E, the exposed portions 706A of the seed layer 706 can also be converted (e.g. oxidized or nitrided) by the conversion process described in Figures 2A-3 above. The exposed portions 706A of the seed layer 706 can be converted substantially simultaneously as the converted portions 708A of the conductive layer 708 is converted. The converted portions 706A of the seed layer 706 can be removed as described above in Figure 6E. Removing the converted portions 706A of the seed layer 706 removes undesirable conductive interconnects between the copper layer 708A segments.
[73] Any of the operations described herein that form part of the invention are useful machine operations. The invention also relates to a device or an apparatus for performing these operations. The apparatus may be specially constructed for the required purposes, or it may be a general-purpose computer selectively activated or configured by a computer program stored in the computer. In particular, various general-purpose machines may be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.
[74] The invention can also be embodied as computer readable code on a computer readable medium. The computer readable medium is any data storage device that can store data which can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices. The computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.
[75] It will be further appreciated that the instructions represented by the operations in the above figures are not required to be performed in the order illustrated, and that all the processing represented by the operations may not be necessary to practice the invention. Further, the processes described in any of the above figures can also be implemented in software stored in any one of or combinations of the RAM, the ROM, or the hard disk drive. [76] Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims. Wliat is claimed is:

Claims

Claims
1. A method of etching a conductive layer comprising: converting at least a portion of the conductive layer; and etching the conductive layer to substantially remove the converted portion of the conductive layer to expose a remaining surface, the remaining surface having an average surface roughness of less than about 10 nm.
2. The method of claim 1, wherein the conductive layer includes a copper layer or copper alloy layer.
3. The method of claim 1, wherein converting the at least a portion of the conductive layer includes oxidizing the at least a portion of the conductive layer.
4. The method of claim 1, wherein converting the at least a portion of the conductive layer includes nitriding the at least a portion of the conductive layer
5. The method of claim 1, wherein the conductive layer is formed on an underlying layer, the underlying layer being formed on a substrate.
6. The method of claim 5, wherein the underlying layer is a barrier layer.
7. The method of claim 5, wherein converting the at least a portion of the conductive layer includes converting substantially the entire conductive layer and converting at least a portion of the underlying layer.
8. The method of claim 1, wherein converting the at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer occurs substantially simultaneously.
9. The method of claim 1, wherein converting the at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer occur in-situ.
10. The method of claim 1, wherein etching the conductive layer to substantially remove the converted portion of the conductive layer includes etching with BCI3.
11. The method of claim 1, wherein converting the at least a portion of the conductive layer includes oxidizing the at least a portion of the conductive layer with an oxidizing mixture including chlorine and oxygen.
12. The method of claim 1, wherein converting the at least a portion of the conductive layer includes oxidizing the at least a portion of the conductive layer with an oxidizing mixture including argon and oxygen.
13. The method of claim 1, wherein converting the at least a portion of the conductive layer includes converting the at least a portion of the conductive layer at a temperature greater than about 200 degrees C.
14. The method of claim 1, wherein converting the at least a portion of the conductive layer includes converting the at least a portion of the conductive layer in a plasma.
15. The method of claim 1, wherein etching the conductive layer to substantially remove the converted portion of the conductive layer includes etching the conductive layer at a temperature of less than about 150 degrees C.
16. The method of claim 1, wherein etching the conductive layer to substantially remove the converted portion of the conductive layer includes etching with a dynamic liquid meniscus.
17. The method of claim 1, wherein etching the conductive layer to substantially remove the converted portion of the conductive layer includes etching the conductive layer in a plasma.
18. The method of claim 1, wherein the average surface roughness of the remaining surface is less than about 0.04 times a thickness of the converted portion of the conductive layer.
19. The method of claim 18, converting the at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer occurs substantially simultaneously.
20. A method of etching a copper layer comprising: oxidizing at least a portion of the copper layer with a first plasma in a plasma chamber and at a temperature greater than about 200 degrees C; and etching the copper layer to substantially remove the oxidized portion of the copper layer to expose a remaining surface, the remaining surface having an average surface roughness of less than about 10 nm, wherein the copper layer is etched with second plasma in the plasma chamber at a temperature of less than about 100 degrees C.
21. A system for etching a conductive layer comprising: a plasma chamber, the plasma chamber capable of enclosing a substrate, the substrate having an exposed layer of conductive material, the plasma chamber capable of supporting a first plasma at a temperature of greater than about 200 degrees C. and a second plasma at less than about 100 degrees C; a converting species source coupled to the plasma chamber; an etching species source coupled to the plasma chamber; and a controller coupled to the plasma chamber, the controller capable to control a flow of the converting species and the etching species into the plasma chamber, the controller including a recipe.
22. The system of claim 21 further comprising a dynamic liquid meniscus etch process chamber.
PCT/US2006/007401 2005-03-09 2006-02-27 Plasma oxidation and removal of oxidized material WO2006098888A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2006800074117A CN101164121B (en) 2005-03-09 2006-02-27 Plasma oxidation and removal of oxidized material
KR1020137003686A KR101376830B1 (en) 2005-03-09 2006-02-27 plasma oxidation and removal of oxidized material
JP2008500764A JP2008536296A (en) 2005-03-09 2006-02-27 Plasma oxidation and removal of oxidized materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/076,725 2005-03-09
US11/076,725 US7540935B2 (en) 2003-03-14 2005-03-09 Plasma oxidation and removal of oxidized material

Publications (2)

Publication Number Publication Date
WO2006098888A2 true WO2006098888A2 (en) 2006-09-21
WO2006098888A3 WO2006098888A3 (en) 2007-12-21

Family

ID=36992195

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/007401 WO2006098888A2 (en) 2005-03-09 2006-02-27 Plasma oxidation and removal of oxidized material

Country Status (6)

Country Link
US (1) US7540935B2 (en)
JP (1) JP2008536296A (en)
KR (2) KR20070112234A (en)
CN (1) CN101164121B (en)
TW (1) TWI310587B (en)
WO (1) WO2006098888A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007423A (en) * 2006-10-16 2014-01-16 Materials & Technologies Corp Wet processing apparatus and method using fluid meniscus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100729933B1 (en) * 2005-12-19 2007-06-18 동부일렉트로닉스 주식회사 Method of monitoring depositing temperature of cu seed layer and method for forming cu layer by using the same
JP5184644B2 (en) * 2007-11-21 2013-04-17 ラム リサーチ コーポレーション Bevel plasma treatment to enhance wet edge cleaning
US8414790B2 (en) * 2008-11-13 2013-04-09 Lam Research Corporation Bevel plasma treatment to enhance wet edge clean
US20120088370A1 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
CN105225976A (en) * 2014-06-25 2016-01-06 中芯国际集成电路制造(上海)有限公司 The manufacture method of pad and semiconductor device
KR20220132603A (en) * 2015-06-17 2022-09-30 인텔 코포레이션 Transition metal dry etch by atomic layer removal of oxide layers for device fabrication
CN112382608A (en) * 2020-11-04 2021-02-19 上海华力集成电路制造有限公司 Method for manufacturing copper interconnection line
US11557487B2 (en) * 2021-06-04 2023-01-17 Tokyo Electron Limited Etching metal during processing of a semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US20040165177A1 (en) * 2002-03-29 2004-08-26 Lam Research System and method of broad band optical end point detection for film change indication
US20040248408A1 (en) * 2003-03-14 2004-12-09 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US7129167B1 (en) * 2003-03-14 2006-10-31 Lam Research Corporation Methods and systems for a stress-free cleaning a surface of a substrate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468284A (en) * 1983-07-06 1984-08-28 Psi Star, Inc. Process for etching an aluminum-copper alloy
US4919750A (en) * 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
JP2885616B2 (en) * 1992-07-31 1999-04-26 株式会社東芝 Semiconductor device and manufacturing method thereof
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
US6090701A (en) * 1994-06-21 2000-07-18 Kabushiki Kaisha Toshiba Method for production of semiconductor device
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
JP3417751B2 (en) * 1995-02-13 2003-06-16 株式会社東芝 Method for manufacturing semiconductor device
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4307592B2 (en) * 1998-07-07 2009-08-05 Okiセミコンダクタ株式会社 Wiring formation method in semiconductor device
JP3892621B2 (en) * 1999-04-19 2007-03-14 株式会社神戸製鋼所 Method for forming wiring film
US6355979B2 (en) * 1999-05-25 2002-03-12 Stmicroelectronics, Inc. Hard mask for copper plasma etch
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US20020072228A1 (en) * 1999-12-15 2002-06-13 Texas A&M University System Semiconductor conductive pattern formation method
US6613667B1 (en) 2001-05-02 2003-09-02 The Texas A&M University System Forming an interconnect of a semiconductor device
TW550648B (en) * 2001-07-02 2003-09-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6518183B1 (en) * 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hillock inhibiting method for forming a passivated copper containing conductor layer
JP4024508B2 (en) * 2001-10-09 2007-12-19 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6794245B2 (en) * 2002-07-18 2004-09-21 Micron Technology, Inc. Methods of fabricating double-sided hemispherical silicon grain electrodes and capacitor modules
JP2004193488A (en) * 2002-12-13 2004-07-08 Tosoh Corp Polishing solution for barrier metal and polishing method
KR100483290B1 (en) 2002-12-14 2005-04-15 동부아남반도체 주식회사 Method For Manufacturing Semiconductor Devices
KR100482180B1 (en) * 2002-12-16 2005-04-14 동부아남반도체 주식회사 Fabricating method of semiconductor device
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US20050287698A1 (en) * 2004-06-28 2005-12-29 Zhiyong Li Use of chalcogen plasma to form chalcogenide switching materials for nanoscale electronic devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US20040165177A1 (en) * 2002-03-29 2004-08-26 Lam Research System and method of broad band optical end point detection for film change indication
US20040248408A1 (en) * 2003-03-14 2004-12-09 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US7129167B1 (en) * 2003-03-14 2006-10-31 Lam Research Corporation Methods and systems for a stress-free cleaning a surface of a substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007423A (en) * 2006-10-16 2014-01-16 Materials & Technologies Corp Wet processing apparatus and method using fluid meniscus

Also Published As

Publication number Publication date
KR20070112234A (en) 2007-11-22
TW200644113A (en) 2006-12-16
US20060128152A1 (en) 2006-06-15
JP2008536296A (en) 2008-09-04
CN101164121B (en) 2011-01-26
KR101376830B1 (en) 2014-03-20
KR20130036066A (en) 2013-04-09
US7540935B2 (en) 2009-06-02
TWI310587B (en) 2009-06-01
WO2006098888A3 (en) 2007-12-21
CN101164121A (en) 2008-04-16

Similar Documents

Publication Publication Date Title
US7540935B2 (en) Plasma oxidation and removal of oxidized material
KR101211446B1 (en) Small volume process chamber with hot inner surfaces
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
EP1135545B1 (en) Removing oxides or other reducible contaminants from a substrate by plasma treatment
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US7217649B2 (en) System and method for stress free conductor removal
IL170851A (en) System, method and apparatus for improved local dual-damascene planarization
IL170852A (en) System, method and apparatus for improved global dual-damascene planarization
US7572734B2 (en) Etch depth control for dual damascene fabrication process
US20070163993A1 (en) Planarization with reduced dishing
JP2000243830A (en) Manufacture of semiconductor device
US7129167B1 (en) Methods and systems for a stress-free cleaning a surface of a substrate
JP2022544026A (en) How to use ultra-thin ruthenium metal hardmasks for etch profile control
JP2008226924A (en) Manufacturing method of semiconductor device, and recording medium
KR101149346B1 (en) Methods and systems for a stress-free buff
WO2004053978A1 (en) Method for cleaning a metal surface by a dry-etching step

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680007411.7

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008500764

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020077022513

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06736682

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 1020137003686

Country of ref document: KR