WO2006101695A1 - Pitch reduced patterns relative to photolithography features - Google Patents

Pitch reduced patterns relative to photolithography features Download PDF

Info

Publication number
WO2006101695A1
WO2006101695A1 PCT/US2006/007739 US2006007739W WO2006101695A1 WO 2006101695 A1 WO2006101695 A1 WO 2006101695A1 US 2006007739 W US2006007739 W US 2006007739W WO 2006101695 A1 WO2006101695 A1 WO 2006101695A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
pattern
hard mask
mask layer
forming
Prior art date
Application number
PCT/US2006/007739
Other languages
French (fr)
Other versions
WO2006101695B1 (en
Inventor
Luan Tran
William T. Rericha
John Lee
Ramakanth Alapati
Sheron Honarkhah
Shuang Meng
Puneet Sharma
Jingyi Bai
Zhiping Yin
Paul Morgan
Mirzafer K. Abatchev
Gurtej S. Sandhu
D. Mark Durcan
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to DE602006011289T priority Critical patent/DE602006011289D1/en
Priority to JP2008501908A priority patent/JP4945740B2/en
Priority to EP06736975A priority patent/EP1861864B1/en
Publication of WO2006101695A1 publication Critical patent/WO2006101695A1/en
Publication of WO2006101695B1 publication Critical patent/WO2006101695B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Definitions

  • This invention relates generally to integrated circuit fabrication and, more particularly, to masking techniques.
  • DRAM dynamic random access memories
  • SRAM static random access memories
  • FE ferroelectric
  • DRAM typically comprises millions of identical circuit elements, known as memory cells.
  • a memory cell typically consists of two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that can store one bit (binary digit) of data. A bit can be written to a cell through the transistor and can be read by sensing charge in the capacitor.
  • Pitch doubling or "pitch multiplication” is one proposed method for extending the capabilities of photolithographic techniques beyond their minimum pitch.
  • a pitch multiplication method is illustrated in Figures 1 A-IF and described in U.S. Patent No. 5,328,810, issued to Lowrey et ah, the entire disclosure of which is incorporated herein by reference.
  • a pattern of lines 10 is photolithographically formed in a photoresist layer, which overlies a layer 20 of an expendable material, which in turn overlies a substrate 30.
  • the pattern is then transferred using an etch (preferably an anisotropic etch) to the layer 20, thereby forming placeholders, or mandrels, 40.
  • an etch preferably an anisotropic etch
  • the photoresist lines 10 can be stripped and the mandrels 40 can be isotropically etched to increase the distance between neighboring mandrels 40, as shown in Figure 1C.
  • a layer 50 of spacer material is subsequently deposited over the mandrels 40, as shown in Figure ID.
  • Spacers 60 i.e., the material extending or originally formed extending from sidewalls of another material, are then formed on the sides of the mandrels 40.
  • the spacer formation is accomplished by preferentially etching the spacer material from the horizontal surfaces 70 and 80 in a directional spacer etch, as shown in Figure IE.
  • the remaining mandrels 40 are then removed, leaving behind only the spacers 60, which together act as a mask for patterning, as shown in Figure IF.
  • the same width now includes two features and two spaces, with the spaces defined by, e.g., the spacers 60.
  • the smallest feature size possible with a photolithographic technique is effectively decreased.
  • the layer 50 of spacer material typically has a single thickness 90 (see Figures ID and IE) and because the sizes of the features formed by the spacers 60 usually correspond to that thickness 90, pitch doubling typically produces features of only one width.
  • Circuits generally employ features of different sizes.
  • random access memory circuits typically contain arrays of memory cells located in one part of the circuits and logic circuits located in the so-called "periphery.” hi the arrays, the memory cells are typically connected by conductive lines and, in the periphery, the conductive lines typically contact landing pads for connecting arrays to logic. Peripheral features such as landing pads, however, can be larger than the conductive lines.
  • periphery electrical devices including peripheral transistors
  • peripheral features can be formed with the same pitch as features in the array, because mask patterns formed by pitch multiplication may be limited to those that are formed along the sidewalls of patterned photoresist, pitch multiplication by itself typically does not offer the flexibility, e.g., geometric flexibility, required to define some features.
  • some proposed methods for forming patterns at the periphery and in the array involve separately etching patterns into the array region and the periphery regions of a substrate.
  • a pattern in the array is first formed and transferred to the substrate using one mask and then another pattern in the periphery is formed and separately transferred to the substrate using another mask.
  • Such methods form patterns using different masks at different locations on a substrate, they are limited in their ability to form features that require overlapping patterns, such as when a landing pad overlaps an interconnect line.
  • a third mask may be necessary to "stitch" two separate patterns of features together.
  • such a third mask would add to the expense and complexity of a process flow and would face technical challenges in aligning a mask with both the fine features defined by the pitch multiplication technique and the typically larger peripheral features.
  • a method of integrated circuit fabrication comprises forming a plurality of mandrels over a substrate. Spacers are formed on sidewalls of the mandrels. The mandrels are selectively removed relative to the spacers to form a spacer pattern. A planarizing material is deposited around the spacers to form a planar upper surface. A pattern is formed in the planarizing material. The spacer pattern and the pattern in the planarizing material is transferred into an underlying upper hard mask layer to form a consolidated pattern in the upper hardmask layer. The consolidated pattern is transferred into an underlying lower hard mask layer. The consolidated pattern is transferred into an amorphous carbon layer overlying the substrate
  • a method for forming an integrated circuit. The method comprises forming an amorphous carbon layer over a substrate. A lower hard mask layer is formed over the amorphous carbon layer. An upper hard mask layer is formed on the lower hard mask layer. A temporary layer is formed over the upper hard mask layer. A first hard mask layer is formed over the temporary layer.
  • a method for semiconductor processing. The method comprises providing a substrate having an overlying primary mask layer. A hard mask layer formed of a first material overlies the primary mask layer, a hard mask layer formed of a second material overlies the hard mask layer formed of the first material, and a pattern comprising pitch-multiplied spacers overlies the hard mask layer comprising the second material. The pattern is transferred to the hard mask layer comprising the second material. The pattern is subsequently transferred to the hard mask layer formed of the first material. The pattern is then transferred to the primary mask layer. [0015] According to yet another aspect of the invention, a method is provided for semiconductor fabrication.
  • the method comprises forming a first pattern by pitch multiplication and separately defining a second pattern using photolithography without pitch multiplication.
  • the first and second patterns are simultaneously transferred to a hard mask layer.
  • the first and second patterns are then simultaneously transferred from the hard mask layer to an other hard mask layer.
  • the first and second patterns are simultaneously transferred from the other hard mask layer to a primary mask layer.
  • the substrate is processed through the primary mask layer.
  • a method for forming a memory device.
  • the method comprises forming a pattern comprising pitch multiplied spacers over a hard mask layer overlying an amorphous carbon layer.
  • the pattern is etched into the hard mask layer.
  • the spacers are subjected to a carbon etch after etching the pattern.
  • the spacers are removed and the pattern is subsequently transferred from the hard mask layer to the amorphous carbon layer.
  • a method for integrated circuit fabrication. The method comprises forming an amorphous carbon layer over a substrate and depositing a hard mask layer on the amorphous carbon layer at a temperature less than about 45O 0 C.
  • a partially formed integrated circuit comprises a substrate and a primary mask layer overlying the substrate.
  • the primary mask layer is formed of a material different from photoresist.
  • a lower hard mask layer overlies the primary mask layer and an upper hard mask layer overlies the lower mask layer.
  • a mask material, which is different from photoresist, defines a first pattern in a first plane overlying the upper hard mask layer.
  • a photodefinable material defines a second pattern over the upper hard mask layer.
  • Figures 1A-1F are schematic, cross-sectional side views of a sequence of masking patterns for forming conductive lines, in accordance with a prior art pitch doubling method;
  • Figure 2A is a schematic top plan view of a partially formed integrated circuit, in accordance with preferred embodiments of the invention.
  • Figures 2B-2C are schematic cross-sectional side views of the partially formed integrated circuit of Figure 2A, in accordance with preferred embodiments of the invention.
  • Figures 3A and 3B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of Figure 2 after forming lines in a photoresist layer in the array region of the integrated circuit, in accordance with preferred embodiments of the invention;
  • Figures 4A and 4B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of Figures 3A and 3B after widening spaces between lines in the photoresist layer, in accordance with preferred embodiments of the invention
  • Figure 5 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figures 4A and 4B after etching through a first hard mask layer, in accordance with preferred embodiments of the invention
  • Figure 6 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 5 after transferring a pattern from the hard mask layer to a temporary layer, in accordance with preferred embodiments of the invention
  • Figure 7 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 6 after a hard mask layer removal, in accordance with preferred embodiments of the invention.
  • Figure 8 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 7 after depositing a layer of a spacer material, in accordance with preferred embodiments of the invention.
  • Figures 9A and 9B are schematic, cross-sectional side and top plan views of the partially formed integrated circuit of Figure 8 after a spacer etch, in accordance with preferred embodiments of the invention.
  • Figure 10 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figures 9A and 9B after removing a remaining portion of the temporary layer to leave a pattern of spacers in the array region of the integrated circuit, in accordance with preferred embodiments of the invention;
  • Figure 11 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 10 after surrounding the spacers with a removable planarizing material and forming a photoresist layer over the spacers, in accordance with preferred embodiments of the invention;
  • Figure 12 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 11 after forming a pattern in the photoresist layer in the periphery of the integrated circuit, in accordance with preferred embodiments of the invention
  • Figure 13 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 12 after transferring the pattern from the photoresist layer to the planarizing material at the same level as the spacers, in accordance with preferred embodiments of the invention;
  • Figure 14 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 13 after etching the pattern in the periphery and the spacer pattern in the array into an underlying hard mask layer, in accordance with preferred embodiments of the invention;
  • Figure 15 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 14 after performing a pattern clean step to remove the photoresist and patterned planarizing material, in accordance with preferred embodiments of the invention
  • Figure 16 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 15 after etching the pattern in the periphery and the spacer pattern in the array into another underlying hard mask layer, in accordance with preferred embodiments of the invention;
  • Figure 17 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 16 after transferring both the pattern in the periphery and the spacer pattern in the array to a primary mask layer, in accordance with preferred embodiments of the invention;
  • Figure 18 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 17 after transferring the periphery pattern and the spacer pattern to the underlying substrate, in accordance with preferred embodiments of the invention
  • Figure 19 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 17 after performing a spacer removal and before transferring the pattern into the substrate, in accordance with other preferred embodiments of the invention.
  • Figure 20 is a micrograph, as viewed through a scanning electron microscope, of a side cross section of a pattern etched into both the array and the periphery of a partially formed integrated circuit, formed in accordance with preferred embodiments of the invention.
  • Figures 21A and 21B are micrographs, as viewed through a scanning electron microscope, of a top view of a pattern etched into the array and the periphery, respectively, of a partially formed integrated circuit, formed in accordance with preferred embodiments of the invention.
  • pitch doubling techniques can encounter difficulty in transferring spacer patterns to a substrate.
  • both the spacers and the underlying substrate are exposed to an etchant, which preferentially etches away the substrate material.
  • the etchants can also wear away the spacers, albeit at a slower rate.
  • the etchant can wear away the spacers before the pattern transfer is complete.
  • a first phase of methods according to the preferred embodiments an appropriate sequence of layers of materials is formed to allow formation of a mask for processing a substrate
  • photolithography and pitch multiplication are preferably used to form a first pattern defined by spacers. This typically forms features of one size in one region of the chip, e.g., the array of a memory chip.
  • photolithography is performed to form a second pattern in a mask layer formed over or around features forming the first pattern.
  • another photoresist layer can be formed around the spacers or, more preferably, the spacers are surrounded by a planarizing material and photoresist layer is preferably formed over the planarizing material.
  • the second pattern can completely or partially overlap the first pattern, or, in some preferred embodiments, can be completely in a different region of the chip, e.g., the periphery of the memory chip.
  • both the first and second patterns are transferred to an underlying primary masking layer, which preferably can be preferentially etched relative to an underlying substrate. Because the primary masking layer is preferably used to transfer patterns the substrate, various precautions are preferably taken to maintain the structural and chemical integrity of this layer so that the patterns formed in this layer are well-defined.
  • the pattern transfer is preferably accomplished by transferring the first and second patterns consecutively to two hard mask layers and then to the primary masking layer. It has been found that performing an etch through the planarizing layer or the photoresist layer can result in polymerization of the photoresist material and/or planarizing material. This polymerization can leave deposits around pattern features, thereby distorting features of the first and/or second patterns. This distortion can be particularly problematic given the small pitches for which pitch multiplication is typically used. As a result, after etching the first and second patterns into an upper hard mask layer, a cleaning step is preferably performed to remove the planarizing material, photoresist and any polymerized planarizing material or photoresist.
  • the cleaning can also undesirably etch the primary masking layer. This is especially a concern where the cleaning is accomplished using an isotropic etch, which can etch the primary mask layer uncontrollably and typically does not form well-defined features.
  • a lower hard mask layer is preferably used to protect the primary masking layer during the cleaning step.
  • the lower hard mask layer and, more preferably, both the lower and upper hard mask are preferably formed by low temperature deposition processes, preferably performed at less than about 550 0 C and, more preferably, at less than about 45O 0 C and, most preferably, at less than about 400 0 C. Processing at these low temperatures advantageously aids in maintaining the integrity of the primary masking layer, especially when that layer is formed of amorphous carbon. For example, undesirable ashing can occur if amorphous carbon is exposed to higher temperatures.
  • a preferred material for the primary masking layer is amorphous carbon.
  • Preferred materials for the spacers include silicon, silicon nitride, or silicon oxide. In other embodiments, the materials for the spacers and the primary masking layer can be reversed.
  • the upper hard mask layer is preferably formed of a material that can be deposited at low temperatures, as discussed above, and is preferentially etchable relative to the spacers, the lower hard mask layer and any material other material overlying the upper hard mask layer.
  • the lower hard mask layer is preferably also formed of a material that can be deposited at low temperatures and is preferentially etchable relative to the primary masking layer and the upper hard mask layer.
  • the spacers and the lower hard mask layer can be formed of different materials, but preferably are formed of the same material to simplify processing and process chemistries.
  • the spacers and the lower hard mask layer can be formed of an oxide, e.g., silicon oxide, while the upper hard mask layer can be formed of, e.g., silicon, or vice versa.
  • the first and second patterns can then be transferred from one or both hard mask layers to the primary masking layer.
  • the first and second patterns are then preferably transferred from the primary masking layer to the underlying substrate in a single step.
  • patterns for forming differently sized features some of which are below the minimum pitch of the photolithographic technique used for patterning, can be formed and these patterns can be successfully transferred to the underlying substrate.
  • the second pattern is preferably initially formed in a layer substantially coextensive with the first pattern, the second pattern can overlap the first pattern.
  • overlapping features of different sizes on both sides of the photolithographic limit such as conducting lines and landing pads or periphery transistors, can advantageously be formed.
  • the primary masking layer is the masking layer that directly overlies and, due to etch selectivity, is primarily used as the mask to pattern the substrate.
  • the primary masking layer is preferably formed of a material that allows good etch selectivity relative to both the immediately overlying hard mask material and the substrate material, thereby allowing: the spacer pattern in the hard mask layer to be effectively transferred to it; the primary masking layer to be selectively removed without harming the substrate; and the pattern in it to be effectively transferred to the substrate.
  • the first and second patterns can be transferred directly to the substrate using a hard mask, e.g., the lower hard mask discussed above.
  • the primary masking layer is preferably formed of amorphous carbon and, more preferably, transparent carbon.
  • the primary mask layer is preferably appropriately thick so that it is not worn away before the pattern transfer is complete, it will be appreciated that the spacers and upper and lower hard mask layers typically also overlie the primary mask layer when etching a substrate. It has been found, however, that, in cases where the primary mask layer is particularly thick and/or the mask features are very thin, the relatively tall and thin features in the mask may not be structurally stable. As a result, the mask features can deform and may be unstable. Thus, an optional spacer or spacer and hard mask removal can be performed to straighten and stabilize the profile of the mask features before transfer of the pattern to the substrate, hi other embodiments, one or both hard mask layers can be removed before transfer of the pattern to the substrate.
  • the "substrate" to which patterns are transferred can include a layer of a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or structures in them, etc. These materials can include semiconductors, insulators, conductors, or combinations thereof.
  • the substrate can comprise doped polysilicon, an electrical device active area, a suicide, or a metal layer, such as a tungsten, aluminum or copper layer, or combinations thereof, hi some embodiments, the mask features discussed below can directly correspond to the desired placement of conductive features, such as interconnects, in the substrate.
  • the substrate can be an insulator and the location of mask features can correspond to the desired location of insulators, such as in damascene metallization. Examples of structures formed in the substrate include gate stacks and shallow trench isolation structures.
  • transferring a pattern from an overlying level to an underlying level involves forming features in the underlying level that generally correspond to features in the overlying level.
  • the path of lines in the underlying level will generally follow the path of lines in the overlying level and the location of other features in the underlying level will correspond to the location of similar features in the overlying level.
  • the precise shapes and sizes of features can vary from the overlying level to the underlying level, however.
  • the sizes of and relative spacings between the features forming the transferred pattern can be enlarged or diminished relative to the pattern on the overlying level, while still resembling the same initial "pattern," as can be seen from the example of shrinking the first resist mask in the embodiments described below.
  • the transferred pattern is still considered to be the same pattern as the initial pattern.
  • forming spacers around mask features can change the pattern.
  • a sequence of layers of materials is formed that allow formation of a mask for processing a substrate.
  • Figure 2A shows a top view of a portion of an integrated circuit 100. While the preferred embodiments can be used to form any integrated circuit, they are particularly advantageously applied to form devices having arrays of electrical devices, including memory cell arrays for volatile and non-volatile memory devices such as DRAM, ROM or flash memory, including NAND flash memory, or integrated circuits having logic or gate arrays.
  • the logic array can be a field programmable gate array (FPGA) having a core array similar to a memory array and a periphery with supporting logics. Consequently, the integrated circuit 100 can be, e.g., a memory chip or a processor, which can include both a logic array and embedded memory, or any other integrated circuit having a logic or a gate array.
  • FPGA field programmable gate array
  • a central region 102, the "array,” is surrounded by a peripheral region 104, the "periphery.”
  • the array 102 will typically be densely populated with conducting lines and electrical devices such as transistors and capacitors.
  • the electrical devices form a plurality of memory cells, which are typically arranged in a regular grid pattern at the intersection of word lines and bit lines.
  • pitch multiplication can be used to form features such as rows/columns of transistors and capacitors in the array 102, as discussed below.
  • the periphery 104 typically comprises features larger than those in the array 102.
  • Figure 2B shows a cross-sectional side view of the partially formed integrated circuit 100.
  • Various masking layers 120-160 are preferably provided above a substrate 110.
  • the layers 120-160 will be etched to form a mask for patterning the substrate 110, as discussed below.
  • the materials for the layers 120-160 overlying the substrate 110 are preferably chosen based upon consideration of the chemistry and process conditions for the various pattern forming and pattern transferring steps discussed herein. Because the layers between a topmost selectively definable layer 120 and the substrate 110 preferably function to transfer a pattern derived from the selectively definable layer 120 to the substrate 110, the layers 130-160 between the selectively definable layer 120 and the substrate 110 are preferably chosen so that they can be selectively etched relative to other exposed materials.
  • a material is considered selectively, or preferentially, etched when the etch rate for that material is at least about 2-3 times greater, preferably at least about 10 times greater, more preferably at least about 20 times greater and, most preferably, at least about 40 times greater than that for surrounding materials.
  • the layers 120-155 overlying the primary hard mask layer 160 is to allow well-defined patterns to be formed in that layer 160, it will be appreciated that one or more of the layers 120-155 can be omitted or substituted if suitable other materials, chemistries and/or process conditions are used.
  • the layer 130 can be omitted in some embodiments where the resolution enhancement properties of that layer, as discussed below, are not desired.
  • the selectively definable layer 120 overlies a first hard mask, or etch stop, layer 130, which overlies a temporary layer 140, which overlies a second (upper) hard mask, or etch stop, layer 150, which overlies a third (lower) hard mask layer 155, which overlies a primary mask layer 160, which overlies the substrate 110 to be processed ⁇ e.g., etched) through a mask.
  • the mask through which the substrate 110 is processed is formed in the third hard mask layer 155 or in the primary mask layer 160.
  • the selectively definable layer 120 is preferably photodefinable, e.g., formed of a photoresist, including any photoresist known in the art.
  • the photoresist can be any photoresist compatible with 157 nm, 193 nm, 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems.
  • maskless lithography, or maskless photolithography can be used to define the selectively definable layer 120.
  • photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source.
  • ArF photoresists are preferably used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm.
  • KrF photoresists are preferably used with longer wavelength photolithography systems, such as 248 nm systems, hi other embodiments, the layer 120 and any subsequent resist layers can be formed of a resist that can be patterned by nano- imprint lithography, e.g., by using a mold or mechanical force to pattern the resist.
  • the material for the first hard mask layer 130 preferably comprises an inorganic material.
  • Exemplary materials include silicon oxide (SiO 2 ), silicon or a dielectric anti-reflective coating (DARC), such as a silicon-rich silicon oxynitride.
  • the first hard mask layer 130 is a dielectric anti-reflective coating (DARC).
  • DARCs for the first hard mask layer 130 can be particularly advantageous for forming patterns having pitches near the resolution limits of a photolithographic technique. The DARCs can enhance resolution by minimizing light reflections, thus increasing the precision with which photolithography can define the edges of a pattern.
  • the temporaiy layer 140 is preferably formed of amorphous carbon, which, as noted above, offers very high etch selectivity relative to the preferred hard mask materials. More preferably, the amorphous carbon is a form of amorphous carbon that is highly transparent to light and that offers further improvements for photo alignment by being transparent to the wavelengths of light used for such alignment. Deposition techniques for forming such transparent carbon can be found in A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203, the entire disclosure of which is incorporated herein by reference.
  • the combination of materials for the second and third hard mask layers 150 and 155 are preferably chosen based upon the material used for the spacers and for the underlying layer 160.
  • the layer 160 is preferably formed of amorphous carbon. Exemplary combinations of the other materials are listed in the table below:
  • the oxide is preferably a form of silicon oxide and the nitride is typically silicon nitride.
  • the temporary layer is preferably a material that is preferentially etchable relative to the carbon.
  • the temporary layer can be formed of a silicon-containing material.
  • examples of other hard mask materials include amorphous carbon and etchable high-K materials.
  • the second hard mask layer 150 is formed of silicon, e.g., amorphous silicon.
  • the third hard mask layer 155 is formed of a silicon oxide, e.g., a low silane oxide (LSO).
  • the LSO is formed by chemical vapor deposition using a relatively low silane flow and a relatively high N 2 O precursor flow.
  • a deposition can be performed at relatively low temperatures, e.g., less than about 55O 0 C and, more preferably, less than about 400 0 C, to prevent damage to the underlying primary mask layer 160, when the layer 160 is formed of a temperature- sensitive material.
  • oxides can typically be etched with greater selectivity relative to silicon than nitrides.
  • both the spacers and the third hard mask layer are preferably formed of the same material, an oxide, when the second hard mask layer is formed of amorphous silicon.
  • the primary mask layer 160 is preferably formed of amorphous carbon due to its excellent etch selectivity relative to many materials.
  • amorphous carbon is particularly advantageous for transferring patterns to difficult to etch substrates, such as a substrate 110 comprising multiple materials or multiple layers of materials, or for forming small and high aspect ratio features.
  • the thicknesses of the layers 120-160 are preferably chosen depending upon compatibility with the etch chemistries and process conditions described herein. As discussed above, when transferring a pattern from an overlying layer to an underlying layer by selectively etching the underlying layer, materials from both layers are removed to some degree. Thus, the upper layer is preferably thick enough so that it is not worn away over the course of the pattern transfer.
  • the photodefinable layer 120 is preferably about 50-300 nm thick and, more preferably, about 200-250 nm thick. It will be appreciated that, in cases where the layer 120 is a photoresist, this thickness can vary depending upon the wavelength of light used to pattern the layer 120. A thickness of about 50-300 nm thick and, more preferably, about 200-250 nm thick is particularly advantageous for 248 nm wavelength systems.
  • the first hard mask layer 130 is preferably about 10-40 nm thick and, more preferably, about 15-30 nm thick.
  • the temporary layer 140 is preferably about 50- 200 nm thick and, more preferably, about 80-120 nm thick.
  • the second hard mask layer 150 is preferably about 20-80 nm thick and, more preferably, about 30-50 nm thick and the third hard mask layer 155 is preferably about 10-50 nm thick and, more preferably, about 20-30 nm thick.
  • the thickness of the primary mask layer 160 is preferably chosen based upon the selectivity of the etch chemistry for etching the substrate and based upon the materials and complexity of the substrate.
  • a thickness of preferably about 100-500 nm and, more preferably, about 200-300 nm is particularly effective for transferring patterns to a variety of substrates, including substrates having a plurality of different materials to be etched during the transfer.
  • Figure 2C shows an exemplary substrate 160 comprising a plurality of layers which can be etched to form control gate stacks.
  • a suicide layer 110a overlies a polysilicon layer HOb, which overlies an oxide-nitride-oxide (ONO) composite layer 11 Oc, which overlies a polysilicon layer 11Od.
  • Oc oxide-nitride-oxide
  • the various layers discussed herein can be formed by various methods. For example, spin-on-coating processes can be used to form photodefinable layers. Various vapor deposition processes, such as chemical vapor deposition, can be used to form hard mask layers.
  • a low temperature chemical vapor deposition (CVD) process is used to deposit the hard mask layers or any other materials, e.g., spacer material, over the primary mask layer 160, especially in cases where the primary mask layer 160 is formed of amorphous carbon.
  • CVD chemical vapor deposition
  • the second and third hard mask layers 150 and 155 can be deposited at temperatures of less than about 55O 0 C and, more preferably, less than about 450°C and, most preferably, less than about 400 0 C.
  • Such low temperature deposition processes advantageously prevent chemical or physical disruption of the amorphous carbon layer(s).
  • a LSO e.g., for forming either the layers 150 or 155
  • PECVD plasma enhanced CVD
  • Various processing systems made by various manufacturers can be used to perform the process, as known in the art.
  • a non-limiting example of a suitable reactor system is the Applied Materials' ProducerTM system.
  • SiH 4 is preferably flowed into the reactor at a rate of about 50-250 seem and, more preferably, about 150 seem.
  • N 2 O is flowed into the reactor at a rate of about 400-1000 seem and, more preferably, about 750 seem, and He is flowed into the reactor at a rate of about 2500-4000 seem and, more preferably, about 3500 seem.
  • the pressure within reactor is preferably maintained at about 4-8 torr and, more preferably, about 6.5 torr.
  • the RF power is preferably about 50-200 watts and, more preferably, about 110 watts.
  • the spacing is preferably about 400-600 mils and, more preferably, about 450 mils.
  • the LSO can be deposited at a temperature of about 250-450 0 C and, more preferably, about 375°C.
  • amorphous silicon e.g., for forming the other of the layers 150 or 155 can also be deposited at low temperatures by a plasma enhanced CVD (PECVD) process.
  • PECVD plasma enhanced CVD
  • SiH 4 and He are delivered to the reactor in an Applied Materials' ProducerTM system.
  • the SiH 4 is preferably flowed at about 80-300 seem and, more preferably, about 150 seem.
  • the He is flowed at about 400-300 seem and, more preferably, about 1800 seem.
  • the pressure within the reactor is preferably about 3-5 toiT and, more preferably, about 3.5 torr and the RF power is preferably about 50-200 watts and, more preferably, about 100 watts.
  • the spacing is preferably about 400- 600 mils and, more preferably, about 450 mils.
  • the amorphous silicon can be deposited at a temperature of about 250-450 0 C, and, more preferably, about 375 0 C.
  • the amorphous carbon layers can be formed by chemical vapor deposition using a hydrocarbon compound, or mixtures of such compounds, as carbon precursors.
  • exemplary precursors include propylene, propyne, propane, butane, butylene, butadiene and acetelyne.
  • a suitable method for forming amorphous carbon layers is described in U.S. Patent No. 6,573,030 Bl, issued to Fairbairn et al. on June 3, 2003, the entire disclosure of which is incorporated herein by reference.
  • the amorphous carbon may be doped.
  • a suitable method for forming doped amorphous carbon is described in U.S. Patent Application No. 10/652,174 to Yin et al., the entire disclosure of which is incorporated herein by reference.
  • a pattern of spacers is formed by pitch multiplication.
  • the trenches 122 can be formed by, e.g., photolithography with 248 nm or 193 nm light, in which the layer 120 is exposed to radiation through a reticle and then developed. After being developed, the remaining photodefmable material, photoresist in the illustrated embodiment, forms mask features such as the illustrated lines 124 (shown in cross-section only).
  • the pitch of the resulting lines 124 is equal to the sum of the width of a line 124 and the width of a neighboring space 122.
  • the pitch can be at or near the limits of the photolithographic technique used to pattern the photodefinable layer 120.
  • the pitch of the lines 124 can be about 100 nm.
  • the pitch may be at the minimum pitch of the photolithographic technique and the spacer pattern discussed below can advantageously have a pitch below the minimum pitch of the photolithographic technique.
  • the lines 124 can be formed having larger feature sizes, e.g., 200 nm, to minimize errors in the position and sizes of the lines 124.
  • the spaces 122 are preferably widened by etching the photoresist lines 124, to form modified spaces 122a and lines 124a.
  • the photoresist lines 124 are preferably etched using an isotropic etch to "shrink" those features. Suitable etches include etches using an oxygen-containing plasma, e.g., a SO 2 /O 2 /N 2 /Ar plasma, a Cl 2 /O 2 /He plasma or a HBr/O 2 /N 2 plasma.
  • the extent of the etch is preferably selected so that the widths of the lines 124a are substantially equal to the desired spacing between the later-formed spacers 175, as will be appreciated from the discussion below.
  • the width of the lines 124 can be reduced to from about 80-120 nm to about 40-70 nm.
  • the width-reducing etch allows the lines 124a to be narrower than would otherwise be possible using the photolithographic technique used to pattern the photodefinable layer 120.
  • the etch can smooth the edges of the lines 124a, thus improving the uniformity of those lines.
  • the pattern in the (modified) photodefinable layer 120a is transferred to the hard mask layer 130.
  • This transfer is preferably accomplished using an anisotropic etch, such as an etch using a fiuorocarbon plasma, although a wet (isotropic) etch may also be suitable if the hard mask layer 130 is thin.
  • Preferred fiuorocarbon plasma etch chemistries include CFH 3 , CF 2 H 2 , CF 3 H and CF 4 /HBr.
  • the pattern in the photodefinable layer 120a and the hard mask layer 130 is transferred to the temporary layer 140 to allow for deposition of a layer 170 of spacer material (Figure 8). It has been found that the temperatures used for spacer material deposition are typically too high for photoresist to withstand. Thus, the pattern is preferably transferred from the photodefinable layer 120a to the temporary layer 140, which is formed of a material that can withstand the process conditions for spacer material deposition and etch, discussed below. In addition to having higher heat resistance than photoresist, the material forming the temporary layer 140 is preferably selected such that it can be selectively removed relative to the material for the spacers 175 ( Figure 10) and the underlying etch stop layer 150. As noted above, the layer 140 is preferably formed of amorphous carbon and, more preferably, transparent carbon.
  • the pattern in the modified photodefinable layer 120a is preferably transferred to the temporary layer 140 using a O 2 -containing plasma, e.g., a plasma containing SO 2 , O 2 and Ar.
  • a O 2 -containing plasma e.g., a plasma containing SO 2 , O 2 and Ar.
  • suitable etch chemistries include a Cl 2 /O 2 /SiCl 4 or SiCl 4 / ⁇ 2 /N 2 or HBr/O 2 /N 2 /SiCl 4 containing plasma.
  • the SO 2 -containing plasma is used as it can etch carbon of the preferred temporary layer 140 at a rate greater than 20 times and, more preferably, greater than 40 times the rate that the hard mask layer 130 is etched.
  • a suitable SO 2 -containing plasma is described in U.S. Patent Application No.
  • the SO 2 -containing plasma can simultaneously etch the temporary layer 140 and also remove the photodefinable layer 120a.
  • the resulting lines 124b constitute the placeholders or mandrels along which a pattern of spacers 175 ( Figure 10) will be formed.
  • the hard mask layer 130 can be removed to facilitate later spacer formation by leaving the temporary layer 140 exposed for subsequent etching ( Figure 10).
  • the hard mask layer 130 can be removed using a buffered oxide etch (BOE), which is a wet etch comprising HF and NH 4 F.
  • BOE buffered oxide etch
  • a layer 170 of spacer material is preferably blanket deposited conformally over exposed surfaces, including the hard mask layer 150 and the top and sidewalls of the temporary layer 140.
  • the spacer material can be any material that can act as a mask for transferring a pattern to the underlying hard mask layer 150.
  • the spacer material preferably: 1) can be deposited with good step coverage; 2) can be deposited at a temperature compatible with the temporary layer 140; and 3) can be selectively etched relative to the temporary layer 140 and underlying hard mask layer 150.
  • Preferred materials include silicon, silicon oxides and silicon nitrides.
  • the spacer material is silicon oxide, which provides particular advantages in combination with other selected materials of the masking stack.
  • Preferred methods for spacer material deposition include chemical vapor deposition, e.g., using O 3 and TEOS to form silicon oxide, and atomic layer deposition, e.g., using a silicon precursor with an oxygen or nitrogen precursor to form silicon oxides and nitrides, respectively.
  • the thickness of the layer 170 is preferably determined based upon the desired width of the spacers 175 ( Figure 10).
  • the layer 170 is preferably deposited to a thickness of about 20-80 run and, more preferably, about 40-60 nm.
  • the step coverage is about 80% or greater and, more preferably, about 90% or greater.
  • the silicon oxide spacer layer 170 is then subjected to an anisotropic etch to remove spacer material from horizontal surfaces 180 of the partially formed integrated circuit 100.
  • an etch also known as a spacer etch, can be performed using a fluorocarbon plasma, e.g., containing CF 4 /CHF 3 , C 4 F 8 /CH 2 F 2 or CHF 3 /Ar plasma.
  • the temporary layer 140 is next removed to leave freestanding spacers 175.
  • the temporary layer 140 is selectively removed using an organic strip process.
  • Preferred etch chemistries include a oxygen-containing plasma etch, such as an etch using SO 2 .
  • the pitch of the spacers 175 is roughly half that of the photoresist lines 124 and spaces 122 ( Figure 3A) originally formed by photolithography. Where the photoresist lines 124 had a pitch of about 200 nm, spacers 175 having a pitch of about 100 nm or less can be formed. It will be appreciated that because the spacers 175 are formed on the sidewalls of the features or lines 124b, the spacers 175 generally follow the outline of the pattern of features or lines 124a in the modified photodef ⁇ nable layer 120a and, so, typically form a closed loop in the spaces 122a between the lines 124a. The spacers 175 form a first pattern 177.
  • a second pattern is formed over the first pattern 177.
  • the second pattern comprises features having larger critical dimensions than the first pattern 177.
  • the second pattern can be formed completely, partially, or not overlapping the first pattern 177.
  • a planar surface is formed by depositing a planarizing material around the spacers 175 to form a planarizing layer 200, as shown in Figure 11.
  • a selectively definable layer 220 is then formed on the planarizing material to allow for patterning of the second pattern at the periphery 104.
  • the planarizing layer 200 is preferably at least as tall as the spacers 175.
  • the protective layer 200 is preferably formed of a material that can be selectively etched relative to both the spacers 175 and the selectively definable layer 220.
  • the planarizing layer 200 can be formed of a spin-on anti-reflective coating, such as a bottom anti-reflective coating (BARC).
  • BARC bottom anti-reflective coating
  • the selectively definable layer 220 is preferably photodef ⁇ nable, e.g., formed of a photoresist, including any photoresist known in the art.
  • the layer 220 can be formed of a resist suitable for patterning by nano-imprint lithography.
  • the planarizing layer 200 can be omitted and the selectively definable layer 220 can be formed directly on and around the spacers 175.
  • the patterns can be defined in the layer 220 with good integrity and where the resolution enhancement properties of an anti- reflective coating are not desired.
  • the anti-reflective coating can be omitted if the material underlying the selectively definable layer 220 is sufficiently non-reflective.
  • the photodef ⁇ nable layer 220 is patterned using, e.g., the same photolithographic technique used to pattern the photodefinable layer 120.
  • a pattern 230 is formed in the photodefinable layer 220.
  • the area in the photodefinable layer 220 in the array 102 is preferably open, as illustrated.
  • the pattern 230 can partially or completely overlap the pattern 177 or be completely separated from the pattern 177.
  • the use of different reference numerals (177 and 230) for these patterns indicates that they were originally formed in different steps.
  • the pattern 177 preferably has a pitch or feature size smaller than the minimum pitch or resolution of the photolithographic technique used in forming it
  • the pattern 230 preferably has a pitch or feature size equal to or greater than the minimum pitch or resolution of the photolithographic technique used to form that pattern. It will be appreciated that the pattern 230 at the periphery 104 can be used to form landing pads, transistors, local interconnects, etc.
  • the patterns 177 and 230 are consolidated on one level below the spacers and simultaneously transferred to the substrate 110.
  • the pattern 230 is transferred to the same level as the pattern 177 of spacers 175.
  • An anisotropic BARC etch is performed to define the periphery features in the protective layer 210 and to also open up the array features.
  • the parts of the protective layer 210 that are unprotected by parts of the photodefinable layer 220 are preferably selectively etched using an anisotropic etch, using, e.g., a HBr/O 2 plasma or a SO 2 -containing plasma. This etch preferentially removes the protective layer 200 around the oxide spacers 175, thereby leaving those spacers 175 exposed.
  • the second and third hard mask layers are etched to transfer the patterns 177 and 230 down to the primary mask layer 160, to form a mixed pattern in the primary mask layer 160.
  • the patterns 177 and 230 are first both transferred to the second hard mask layer 150.
  • the second hard mask 150 is formed of amorphous silicon, it is preferably anisotropically etched using, e.g., a HBr and Cl 2 containing plasma. Such an etch preferably etches the amorphous silicon at a rate greater than about 5 times and, more preferably, greater than about 10 times the rate at which the silicon oxide spacers 175 and silicon oxide third hard mask 155 can be etched.
  • the first and second patterns 177 and 230 are cleaned.
  • the carbon material forming the photoresist and DARC layers 220 and 210 can polymerize upon contact with etchants.
  • the HBr/Cl 2 etch of the second hard mask layer 150 can cause parts of the layers 220 and 210 to polymerize and leave a residue around features in the second hard mask layer 150, causing a pattern having undesirably non-uniform features.
  • the patterns 177 and 230 are preferably cleaned by stripping off an organic or carbon-containing material.
  • the organic material or carbon strip can be accomplished using, e.g., an isotropic etch with O 2 plasma.
  • the patterns 177 and 230 are then both transferred to the third hard mask layer 155.
  • the third hard mask 155 is formed of a LSO, it is preferably anisotropically etched using, e.g., a fluorocarbon plasma.
  • the fluorocarbon plasma preferably includes C 4 F 8 , CH 2 F 2 , Ar and O 2 and can preferably etch the silicon oxide and the amorphous carbon at equal rates and, more preferably, can etch the silicon oxide at a rate greater than about 10 times the rate at which the amorphous silicon layer 150 is etched.
  • the patterns 177 and 230 are transferred to the primary mask layer 160.
  • the transfer is preferably accomplished by anisotropically etching the primary mask layer 160, preferably using a SO 2 -containing plasma.
  • etch chemistries include a C1 2 /O 2 , HBr/O 2 /N 2 or SiCl 4 /O 2 /N 2 /HBr or SiCl 4 /O 2 - containing plasma.
  • the SO 2 -containing plasma is preferably used as it has been found to have excellent selectivity for the amorphous carbon of the primary mask layer 160 relative to the hard mask layers 150 and 155.
  • a thick enough mask can be formed in the primary mask layer 160 to later effectively transfer the mask pattern to the substrate 110, particularly through multiple materials of the substrate using selective etch chemistries and without wearing away the primary mask layer 160 before the pattern transfer is complete.
  • the patterns 177 and 230 are transferred to the substrate 110 using the layer 160 as a mask.
  • the pattern transfer can be readily accomplished using etch chemistries appropriate for etching the material or materials of the substrate 110.
  • a fluorocarbon etch comprising CF 4 , CHF 3 and/or NF 3 containing plasma can be used to etch silicon nitride
  • a fluorocarbon etch comprising CF 4 , CHF 3 , CH 2 F 2 and/or C 4 F 8 containing plasma can be used to etch silicon oxide and a HBr, Cl 2 , NF 3 , SF 6 and/or CF 4 containing plasma etch can be used to etch silicon.
  • the skilled artisan can readily determine suitable etch chemistries for other substrate materials, such as conductors, including aluminum, transition metals, and transition metal nitrides.
  • an aluminum substrate can be etched using a fluorocarbon etch.
  • the substrate 110 comprises layers of different materials
  • a succession of different chemistries preferably dry-etch chemistries
  • the spacers 175 and the hard mask layer 150 may be etched.
  • amorphous carbon for the primary mask layer 160 advantageously offers excellent resistance to conventional etch chemistries, especially those used for etching silicon-containing materials.
  • the primary mask layer 160 can effectively be used as a mask for etching through a plurality of substrate layers, or for forming high aspect ratio trenches, hi addition, the pitch doubled pattern 177 and the pattern 230 formed by conventional lithography can simultaneously be transferred to the substrate 110, or each individual layer of the substrate 110, in a single etch step.
  • the sequence of substrate layers 11 Oa-11Od can be etched using various etch chemistries, which preferably anisotropically etch the various layers.
  • the suicide layer HOa can be etched using a C1 2 /CF 4 plasma at a pressure of about 3-10 mTorr, with about 200-350 watt source power and about 50-100 watt bias power;
  • the polysilicon layer HOb can etched be using a HBr/Cl 2 plasma at a pressure of about 10-30 mTorr, with about 300-500 watt source power and about 20-50 watt bias power;
  • the oxide-nitride-oxide (ONO) composite layer HOc can be etched using a CF 4 /CH 2 F 2 /He plasma at a pressure of about 5-10 mTorr, with about 600-1000 watt soruce power and about 200-400 watt bias power; and
  • the polysilicon layer 11 Od can be etched using a HBr/He/O 2 plasma at
  • the spacers 175 can be removed before using the primary mask layer 160 to transfer the patterns 177 and 230 to the substrate 110.
  • the removal is preferably performed using an etch selective for the spacers 175.
  • the spacer removal can be accomplished using a wet or dry etch, e.g., a wet buffered oxide etch or a dry etch using a CH 2 F 2 /C 4 F 8 /Ar/ ⁇ 2 plasma.
  • this spacer removal can advantageously straighten and/or stabilize the profile of the features forming the patterns 177 and 230, especially where the features are taller than optimal for etching the substrate 110.
  • Figure 20 shows a structure resulting after etching the substrate 110.
  • the substrate 110 can be any layer of material or materials that the patterns 177 and 230 are etched into.
  • the composition of the substrate 110 can depend upon, e.g., the electrical device to be formed.
  • the substrate 110 comprises a suicide layer 110a, a polysilicon layer 110b, an oxide-nitride-oxide (ONO) composite layer HOc and a floating gate (FG) polysilicon layer 11Od.
  • this sequence of layers forms a source select gate (SG) control line HOe.
  • SG source select gate
  • the etched surfaces exhibit exceptionally low edge roughness.
  • the trenches formed in the array show excellent uniformity, even at the low 100 nm pitch (50 nm feature size) pictured.
  • these results are achieved while also forming well-defined and smooth lines in the periphery, which can have a width significantly greater than about 100 nm, e.g., about 250 nm in the illustrated structure.
  • the formation of patterns according to the preferred embodiments offers numerous advantages.
  • the ability to deposit the second and third hard mask layers 150 and 155 at low temperatures of, e.g., less than about 55O 0 C, more preferably, less than about 400 0 C maintains the structural and chemical integrity of the amorphous carbon layer 160.
  • the third hard mask layer 155 can provide a buffer to protect the amorphous carbon layer 160 from etch chemistries employed for overlying materials.
  • the third hard mask layer 155 allows overlying patterns to be cleaned without undesirably etching the amorphous carbon layer 160.
  • the definition of the patterns can be improved and unwanted materials, such as polymerized organics, can be effectively removed.
  • Forming the spacers 175 and performing multiple anisotropic etches to transfer the patterns 177 and 230 from the level of the temporary layer 140 to the primary mask layer 160 and then to the substrate 110 are believed to beneficially smooth the surfaces of the features forming the patterns 177 and 230.
  • the preferred amorphous carbon etch chemistries disclosed herein allow the use of thin hard mask layers, such as the layers 130, 150, and 155 relative to the depth that underlying amorphous carbon layers, such as the layers 140 and 160, are etched.
  • pitch multiplied patterns typically formed closed loops, since the patterns are formed by spacers that formed along the wall of a mandrel. Consequently, where the pitch multiplied pattern is used to form conductive lines, additional processing steps are preferably used to cut off the ends of these loops, so that each loop forms two individual, non-connected lines. This can be accomplished, for example, by forming a protective mask around the parts of the lines to be maintained, while etching away the unprotected ends of the masks. A suitable method for cutting off the ends of the loops is disclosed in U.S. Patent Application No. 10/931,771 to Tran et ah, filed August 31, 2004, the entire disclosure of which is incorporated be reference herein.
  • FIGS. 21 A and 2 IB show top views of an integrated circuit after the etching way the ends of the loops to form individual conductive interconnects.
  • Figure 21 A shows the ends of the loops formed with landing pads for each interconnect, while Figure 21B shows the other end of the interconnects. It will be appreciated that the magnifications for each figure is different. Methods for forming interconnects and landing pads are disclosed in U.S. Patent Application No. 10/931,771 to Tran et al, filed August 31, 2004, the entire disclosure of which is incorporated herein by reference.
  • the pitch of the pattern 177 can be more than doubled.
  • the pattern 177 can be further pitch multiplied by forming spacers around the spacers 175, then removing the spacers 175, then forming spacers around the spacers that were formerly around the spacers 175, and so on.
  • An exemplary method for further pitch multiplication is discussed in U.S. Patent No. 5,328,810 to Lowrey et al.
  • the preferred embodiments can advantageously be applied to form patterns having both pitch multiplied and conventionally photolithographically defined features, the patterns 177 and 230 can both be pitch multiplied or can have different degrees of pitch multiplication.
  • more than two patterns 177 and 230 can be consolidated on the primary mask layer 160 if desired.
  • additional mask layers can be deposited between the layers 140 and 160.
  • the patterns 177 and 230 can be transferred to an additional mask layer overlying the hard mask layer 150 and then the sequence of steps illustrated in Figures 11-16 can be performed to protect the patterns 177 and 230, to form a new pattern in an overlying photodef ⁇ nable layer, and to transfer the patterns to the substrate 110.
  • the additional mask layer preferably comprises a material that can be selectively etched relative to the hard mask layer 150 and a protective layer that surrounds the patterns 177 and 230 after being transferred to the additional mask layer.
  • the preferred embodiments can be employed multiple times throughout an integrated circuit fabrication process to form pitch multiplied features in a plurality of layers or vertical levels, which may be vertically contiguous or noncontiguous and vertically separated.
  • each of the individual levels to be patterned would constitute a substrate 110 and the various layers 120-220 can formed over the individual level to be patterned.
  • the particular composition and height of the various layers 120-220 discussed above can be varied depending upon a particular application.
  • the thickness of the layer 160 can be varied depending upon the identity of the substrate 110, e.g., the chemical composition of the substrate, whether the substrate comprises single or multiple layers of material, the depth of features to be formed, etc., and the available etch chemistries.
  • one or more layers of the layer 120-220 can be omitted or more layers can be added.
  • the layer 160 can be omitted in cases where the hard mask layers 150 and/or 155 are sufficient to adequately transfer a pattern to the substrate 110.
  • processing through the various mask layers can involve subjecting layers underlying the mask layers to any semiconductor fabrication process.
  • processing can involve ion implantation, diffusion doping, depositing, or wet etching, etc. through the mask layers and onto underlying layers.
  • the mask layers can be used as a stop or barrier for chemical mechanical polishing (CMP) or CMP can be performed on any of the layers to allow for both planarization and etching of the underlying layers, as discussed in U.S. Provisional Patent Application No. 60/666,031, filed March 28, 2005, the entire disclosure of which is incorporated by reference herein.

Abstract

Differently-sized features of an integrated circuit (100) are formed by etching a substrate (110) using a mask which is formed by combining two separately formed patterns (177) and (230). Pitch multiplication is used to form the relatively small features (175) of the first pattern (177) and conventional photolithography used to form the relatively large features of the second pattern (230). Pitch multiplication is accomplished by patterning a photoresist and then etching that pattern into an amorphous carbon layer. Sidewall spacers (175) are then formed on the sidewalls of the amorphous carbon. The amorphous carbon is removed, leaving behind the sidewall spacers (175), which define the first mask pattern (177). A bottom anti-reflective coating (BARC) is then deposited around the spacers (175) to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern (230), which is then is transferred to the BARC. The combined pattern (177, 230) made out by the first pattern (177) and the second pattern (230) is transferred to an underlying amorphous silicon layer (150) and the pattern is subjected to a carbon strip to remove BARC and photoresist material. The combined pattern (177, 230) is then transferred to the silicon oxide layer (155) and then to an amorphous carbon mask layer (160). The combined mask pattern (177, 230), having features of difference sizes, is then etched into the underlying substrate (110) through the amorphous carbon hard mask layer (160).

Description

PITCH REDUCED PATTERNS RELATIVE TO PHOTOLITHOGRAPHY FEATURES
Reference to Related Applications
[0001] This application claims the priority benefit under 35 U.S.C. § 119(e) of provisional Application No. 60/662,323, filed March 15, 2005.
[0002] This application is also related to and incorporates the following by reference in their entireties: U.S. Patent Application No. 10/931,772 to Abatchev et al, filed August 31, 2004; U.S. Patent Application No. 10/932,993 to Abatchev et al, filed September 1, 2004; U.S. Patent Application No. 10/931,771 to Tran et al, filed August 31, 2004; U.S. Patent Application No. 10/934,317 to Sandhu et al, filed September 2, 2004.
Background of the Invention Field of the Invention
[0003] This invention relates generally to integrated circuit fabrication and, more particularly, to masking techniques.
Description of the Related Art
[0004] As a consequence of many factors, including demand for increased portability, computing power, memory capacity and energy efficiency, integrated circuits are continuously being reduced in size. The sizes of the constituent features that form the integrated circuits, e.g., electrical devices and interconnect lines, are also constantly being decreased to facilitate this size reduction.
[0005] The trend of decreasing feature size is evident, for example, in memory circuits or devices such as dynamic random access memories (DRAMs), flash memory, static random access memories (SRAMs), ferroelectric (FE) memories, etc. To take one example, DRAM typically comprises millions of identical circuit elements, known as memory cells. In its most general form, a memory cell typically consists of two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that can store one bit (binary digit) of data. A bit can be written to a cell through the transistor and can be read by sensing charge in the capacitor. By decreasing the sizes of the electrical devices that constitute a memory cell and the sizes of the conducting lines that access the memory cells, the memory devices can be made smaller. Additionally, storage capacities can be increased by fitting more memory cells on a given area in the memory devices.
[0006] The continual reduction in feature sizes places ever greater demands on the techniques used to form the features. For example, photolithography is commonly used to pattern features, such as conductive lines. The concept of pitch can be used to describe the sizes of these features. Pitch is defined as the distance between an identical point in two neighboring features. These features are typically defined by spaces between adjacent features, which spaces are typically filled by a material, such as an insulator. As a result, pitch can be viewed as the sum of the width of a feature and of the width of the space on one side of the feature separating that feature from a neighboring feature. However, due to factors such as optics and light or radiation wavelength, photolithography techniques each have a minimum pitch below which a particular photolithographic technique cannot reliably form features. Thus, the minimum pitch of a photolithographic technique is an obstacle to continued feature size reduction.
[0007] "Pitch doubling" or "pitch multiplication" is one proposed method for extending the capabilities of photolithographic techniques beyond their minimum pitch. A pitch multiplication method is illustrated in Figures 1 A-IF and described in U.S. Patent No. 5,328,810, issued to Lowrey et ah, the entire disclosure of which is incorporated herein by reference. With reference to Figure IA, a pattern of lines 10 is photolithographically formed in a photoresist layer, which overlies a layer 20 of an expendable material, which in turn overlies a substrate 30. As shown in Figure IB, the pattern is then transferred using an etch (preferably an anisotropic etch) to the layer 20, thereby forming placeholders, or mandrels, 40. The photoresist lines 10 can be stripped and the mandrels 40 can be isotropically etched to increase the distance between neighboring mandrels 40, as shown in Figure 1C. A layer 50 of spacer material is subsequently deposited over the mandrels 40, as shown in Figure ID. Spacers 60, i.e., the material extending or originally formed extending from sidewalls of another material, are then formed on the sides of the mandrels 40. The spacer formation is accomplished by preferentially etching the spacer material from the horizontal surfaces 70 and 80 in a directional spacer etch, as shown in Figure IE. The remaining mandrels 40 are then removed, leaving behind only the spacers 60, which together act as a mask for patterning, as shown in Figure IF. Thus, where a given pitch previously included a pattern defining one feature and one space, the same width now includes two features and two spaces, with the spaces defined by, e.g., the spacers 60. As a result, the smallest feature size possible with a photolithographic technique is effectively decreased.
[0008] While the pitch is actually halved in the example above, this reduction in pitch is conventionally referred to as pitch "doubling," or, more generally, pitch "multiplication." Thus, conventionally, "multiplication" of pitch by a certain factor actually involves reducing the pitch by that factor. The conventional terminology is retained herein.
[0009] Because the layer 50 of spacer material typically has a single thickness 90 (see Figures ID and IE) and because the sizes of the features formed by the spacers 60 usually correspond to that thickness 90, pitch doubling typically produces features of only one width. Circuits, however, generally employ features of different sizes. For example, random access memory circuits typically contain arrays of memory cells located in one part of the circuits and logic circuits located in the so-called "periphery." hi the arrays, the memory cells are typically connected by conductive lines and, in the periphery, the conductive lines typically contact landing pads for connecting arrays to logic. Peripheral features such as landing pads, however, can be larger than the conductive lines. In addition, periphery electrical devices, including peripheral transistors, can be larger than the electrical devices in the array. Moreover, even if peripheral features can be formed with the same pitch as features in the array, because mask patterns formed by pitch multiplication may be limited to those that are formed along the sidewalls of patterned photoresist, pitch multiplication by itself typically does not offer the flexibility, e.g., geometric flexibility, required to define some features.
[0010] To overcome such limitations, some proposed methods for forming patterns at the periphery and in the array involve separately etching patterns into the array region and the periphery regions of a substrate. A pattern in the array is first formed and transferred to the substrate using one mask and then another pattern in the periphery is formed and separately transferred to the substrate using another mask. Because such methods form patterns using different masks at different locations on a substrate, they are limited in their ability to form features that require overlapping patterns, such as when a landing pad overlaps an interconnect line. As a result, yet a third mask may be necessary to "stitch" two separate patterns of features together. Undesirably, such a third mask would add to the expense and complexity of a process flow and would face technical challenges in aligning a mask with both the fine features defined by the pitch multiplication technique and the typically larger peripheral features.
[0011] Accordingly, there is a need for methods of forming features of different sizes, especially where some features are formed below the minimum pitch of a photolithographic technique, and especially in conjunction with pitch multiplication.
Summary of the Invention
[0012] According to one aspect of the invention, a method of integrated circuit fabrication is provided. The method comprises forming a plurality of mandrels over a substrate. Spacers are formed on sidewalls of the mandrels. The mandrels are selectively removed relative to the spacers to form a spacer pattern. A planarizing material is deposited around the spacers to form a planar upper surface. A pattern is formed in the planarizing material. The spacer pattern and the pattern in the planarizing material is transferred into an underlying upper hard mask layer to form a consolidated pattern in the upper hardmask layer. The consolidated pattern is transferred into an underlying lower hard mask layer. The consolidated pattern is transferred into an amorphous carbon layer overlying the substrate
[0013] According to another aspect of the invention, a method is provided for forming an integrated circuit. The method comprises forming an amorphous carbon layer over a substrate. A lower hard mask layer is formed over the amorphous carbon layer. An upper hard mask layer is formed on the lower hard mask layer. A temporary layer is formed over the upper hard mask layer. A first hard mask layer is formed over the temporary layer.
[0014] According to another aspect of the invention, a method is provided for semiconductor processing. The method comprises providing a substrate having an overlying primary mask layer. A hard mask layer formed of a first material overlies the primary mask layer, a hard mask layer formed of a second material overlies the hard mask layer formed of the first material, and a pattern comprising pitch-multiplied spacers overlies the hard mask layer comprising the second material. The pattern is transferred to the hard mask layer comprising the second material. The pattern is subsequently transferred to the hard mask layer formed of the first material. The pattern is then transferred to the primary mask layer. [0015] According to yet another aspect of the invention, a method is provided for semiconductor fabrication. The method comprises forming a first pattern by pitch multiplication and separately defining a second pattern using photolithography without pitch multiplication. The first and second patterns are simultaneously transferred to a hard mask layer. The first and second patterns are then simultaneously transferred from the hard mask layer to an other hard mask layer. The first and second patterns are simultaneously transferred from the other hard mask layer to a primary mask layer. The substrate is processed through the primary mask layer.
[0016] According to another aspect of the invention, a method is provided for forming a memory device. The method comprises forming a pattern comprising pitch multiplied spacers over a hard mask layer overlying an amorphous carbon layer. The pattern is etched into the hard mask layer. The spacers are subjected to a carbon etch after etching the pattern. The spacers are removed and the pattern is subsequently transferred from the hard mask layer to the amorphous carbon layer.
[0017] According to yet another aspect of the invention, a method is provided for integrated circuit fabrication. The method comprises forming an amorphous carbon layer over a substrate and depositing a hard mask layer on the amorphous carbon layer at a temperature less than about 45O0C.
[0018] According to another aspect of the invention, a partially formed integrated circuit is provided. The partially formed integrated circuit comprises a substrate and a primary mask layer overlying the substrate. The primary mask layer is formed of a material different from photoresist. A lower hard mask layer overlies the primary mask layer and an upper hard mask layer overlies the lower mask layer. A mask material, which is different from photoresist, defines a first pattern in a first plane overlying the upper hard mask layer. A photodefinable material defines a second pattern over the upper hard mask layer.
Brief Description of the Drawings
[0019] The invention will be better understood from the Detailed Description of the Preferred Embodiments and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein: [0020] Figures 1A-1F are schematic, cross-sectional side views of a sequence of masking patterns for forming conductive lines, in accordance with a prior art pitch doubling method;
[0021] Figure 2A is a schematic top plan view of a partially formed integrated circuit, in accordance with preferred embodiments of the invention;
[0022] Figures 2B-2C are schematic cross-sectional side views of the partially formed integrated circuit of Figure 2A, in accordance with preferred embodiments of the invention;
[0023] Figures 3A and 3B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of Figure 2 after forming lines in a photoresist layer in the array region of the integrated circuit, in accordance with preferred embodiments of the invention;
[0024] Figures 4A and 4B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of Figures 3A and 3B after widening spaces between lines in the photoresist layer, in accordance with preferred embodiments of the invention;
[0025] Figure 5 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figures 4A and 4B after etching through a first hard mask layer, in accordance with preferred embodiments of the invention;
[0026] Figure 6 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 5 after transferring a pattern from the hard mask layer to a temporary layer, in accordance with preferred embodiments of the invention;
[0027] Figure 7 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 6 after a hard mask layer removal, in accordance with preferred embodiments of the invention;
[0028] Figure 8 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 7 after depositing a layer of a spacer material, in accordance with preferred embodiments of the invention;
[0029] Figures 9A and 9B are schematic, cross-sectional side and top plan views of the partially formed integrated circuit of Figure 8 after a spacer etch, in accordance with preferred embodiments of the invention;
[0030] Figure 10 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figures 9A and 9B after removing a remaining portion of the temporary layer to leave a pattern of spacers in the array region of the integrated circuit, in accordance with preferred embodiments of the invention;
[0031] Figure 11 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 10 after surrounding the spacers with a removable planarizing material and forming a photoresist layer over the spacers, in accordance with preferred embodiments of the invention;
[0032] Figure 12 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 11 after forming a pattern in the photoresist layer in the periphery of the integrated circuit, in accordance with preferred embodiments of the invention;
[0033] Figure 13 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 12 after transferring the pattern from the photoresist layer to the planarizing material at the same level as the spacers, in accordance with preferred embodiments of the invention;
[0034] Figure 14 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 13 after etching the pattern in the periphery and the spacer pattern in the array into an underlying hard mask layer, in accordance with preferred embodiments of the invention;
[0035] Figure 15 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 14 after performing a pattern clean step to remove the photoresist and patterned planarizing material, in accordance with preferred embodiments of the invention;
[0036] Figure 16 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 15 after etching the pattern in the periphery and the spacer pattern in the array into another underlying hard mask layer, in accordance with preferred embodiments of the invention;
[0037] Figure 17 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 16 after transferring both the pattern in the periphery and the spacer pattern in the array to a primary mask layer, in accordance with preferred embodiments of the invention;
[0038] Figure 18 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 17 after transferring the periphery pattern and the spacer pattern to the underlying substrate, in accordance with preferred embodiments of the invention;
[0039] Figure 19 is a schematic, cross-sectional side view of the partially formed integrated circuit of Figure 17 after performing a spacer removal and before transferring the pattern into the substrate, in accordance with other preferred embodiments of the invention;
[0040] Figure 20 is a micrograph, as viewed through a scanning electron microscope, of a side cross section of a pattern etched into both the array and the periphery of a partially formed integrated circuit, formed in accordance with preferred embodiments of the invention; and
[0041] Figures 21A and 21B are micrographs, as viewed through a scanning electron microscope, of a top view of a pattern etched into the array and the periphery, respectively, of a partially formed integrated circuit, formed in accordance with preferred embodiments of the invention.
Detailed Description of the Preferred Embodiments
[0042] Ih addition to problems with forming differently sized features, it has been found that pitch doubling techniques can encounter difficulty in transferring spacer patterns to a substrate. In common methods of transferring patterns, both the spacers and the underlying substrate are exposed to an etchant, which preferentially etches away the substrate material. The etchants, however, can also wear away the spacers, albeit at a slower rate. Thus, over the course of transferring a pattern to an underlying material, the etchant can wear away the spacers before the pattern transfer is complete. These difficulties are exacerbated by the trend towards decreasing feature size, which, for example, increasingly leads to the need to form trenches which have increasingly higher depth to width ratios. Thus, in conjunction with difficulties in producing structures having different feature sizes, pattern transfer limitations make the application of pitch multiplication principles to integrated circuit manufacture even more difficult.
[0043] In view of these difficulties, preferred embodiments of the invention allow for improved pattern transfer and for the formation of differently sized features in conjunction with pitch multiplication. In a first phase of methods according to the preferred embodiments, an appropriate sequence of layers of materials is formed to allow formation of a mask for processing a substrate, hi a second phase of methods according to the preferred embodiments, photolithography and pitch multiplication are preferably used to form a first pattern defined by spacers. This typically forms features of one size in one region of the chip, e.g., the array of a memory chip. In a third phase, photolithography is performed to form a second pattern in a mask layer formed over or around features forming the first pattern. To allow this photolithography, another photoresist layer can be formed around the spacers or, more preferably, the spacers are surrounded by a planarizing material and photoresist layer is preferably formed over the planarizing material. The second pattern can completely or partially overlap the first pattern, or, in some preferred embodiments, can be completely in a different region of the chip, e.g., the periphery of the memory chip.
[0044] In a fourth phase, both the first and second patterns are transferred to an underlying primary masking layer, which preferably can be preferentially etched relative to an underlying substrate. Because the primary masking layer is preferably used to transfer patterns the substrate, various precautions are preferably taken to maintain the structural and chemical integrity of this layer so that the patterns formed in this layer are well-defined.
[0045] As such, the pattern transfer is preferably accomplished by transferring the first and second patterns consecutively to two hard mask layers and then to the primary masking layer. It has been found that performing an etch through the planarizing layer or the photoresist layer can result in polymerization of the photoresist material and/or planarizing material. This polymerization can leave deposits around pattern features, thereby distorting features of the first and/or second patterns. This distortion can be particularly problematic given the small pitches for which pitch multiplication is typically used. As a result, after etching the first and second patterns into an upper hard mask layer, a cleaning step is preferably performed to remove the planarizing material, photoresist and any polymerized planarizing material or photoresist. Because the planarizing material, the photoresist and the underlying primary masking layer are preferably all carbon-based materials, the cleaning can also undesirably etch the primary masking layer. This is especially a concern where the cleaning is accomplished using an isotropic etch, which can etch the primary mask layer uncontrollably and typically does not form well-defined features. Thus, a lower hard mask layer is preferably used to protect the primary masking layer during the cleaning step. [0046] Moreover, the lower hard mask layer and, more preferably, both the lower and upper hard mask are preferably formed by low temperature deposition processes, preferably performed at less than about 5500C and, more preferably, at less than about 45O0C and, most preferably, at less than about 4000C. Processing at these low temperatures advantageously aids in maintaining the integrity of the primary masking layer, especially when that layer is formed of amorphous carbon. For example, undesirable ashing can occur if amorphous carbon is exposed to higher temperatures.
[0047] Thus, a preferred material for the primary masking layer is amorphous carbon. Preferred materials for the spacers include silicon, silicon nitride, or silicon oxide. In other embodiments, the materials for the spacers and the primary masking layer can be reversed. The upper hard mask layer is preferably formed of a material that can be deposited at low temperatures, as discussed above, and is preferentially etchable relative to the spacers, the lower hard mask layer and any material other material overlying the upper hard mask layer. The lower hard mask layer is preferably also formed of a material that can be deposited at low temperatures and is preferentially etchable relative to the primary masking layer and the upper hard mask layer. The spacers and the lower hard mask layer can be formed of different materials, but preferably are formed of the same material to simplify processing and process chemistries. For example, in some embodiments, the spacers and the lower hard mask layer can be formed of an oxide, e.g., silicon oxide, while the upper hard mask layer can be formed of, e.g., silicon, or vice versa. The first and second patterns can then be transferred from one or both hard mask layers to the primary masking layer.
[0048] The first and second patterns are then preferably transferred from the primary masking layer to the underlying substrate in a single step. Thus, patterns for forming differently sized features, some of which are below the minimum pitch of the photolithographic technique used for patterning, can be formed and these patterns can be successfully transferred to the underlying substrate. Moreover, because the second pattern is preferably initially formed in a layer substantially coextensive with the first pattern, the second pattern can overlap the first pattern. As a result, overlapping features of different sizes on both sides of the photolithographic limit, such as conducting lines and landing pads or periphery transistors, can advantageously be formed.
[0049] Preferably, the primary masking layer is the masking layer that directly overlies and, due to etch selectivity, is primarily used as the mask to pattern the substrate. In particular, the primary masking layer is preferably formed of a material that allows good etch selectivity relative to both the immediately overlying hard mask material and the substrate material, thereby allowing: the spacer pattern in the hard mask layer to be effectively transferred to it; the primary masking layer to be selectively removed without harming the substrate; and the pattern in it to be effectively transferred to the substrate. In other embodiments, particularly where the substrate is relatively simple and can be selectively etched relative to hard mask materials, the first and second patterns can be transferred directly to the substrate using a hard mask, e.g., the lower hard mask discussed above.
[0050] As noted above, in common methods of transferring patterns, both the mask and the underlying substrate are exposed to etchant, which can wear away a mask before the pattern transfer is complete. These difficulties are exacerbated where the substrate comprises multiple different materials to be etched. It is due to its excellent etch selectivity relative to a variety of materials, including oxides, nitrides and silicon, that the primary masking layer is preferably formed of amorphous carbon and, more preferably, transparent carbon.
[0051] While the primary mask layer is preferably appropriately thick so that it is not worn away before the pattern transfer is complete, it will be appreciated that the spacers and upper and lower hard mask layers typically also overlie the primary mask layer when etching a substrate. It has been found, however, that, in cases where the primary mask layer is particularly thick and/or the mask features are very thin, the relatively tall and thin features in the mask may not be structurally stable. As a result, the mask features can deform and may be unstable. Thus, an optional spacer or spacer and hard mask removal can be performed to straighten and stabilize the profile of the mask features before transfer of the pattern to the substrate, hi other embodiments, one or both hard mask layers can be removed before transfer of the pattern to the substrate.
[0052] It will be appreciated that the "substrate" to which patterns are transferred can include a layer of a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or structures in them, etc. These materials can include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate can comprise doped polysilicon, an electrical device active area, a suicide, or a metal layer, such as a tungsten, aluminum or copper layer, or combinations thereof, hi some embodiments, the mask features discussed below can directly correspond to the desired placement of conductive features, such as interconnects, in the substrate. In other embodiments, the substrate can be an insulator and the location of mask features can correspond to the desired location of insulators, such as in damascene metallization. Examples of structures formed in the substrate include gate stacks and shallow trench isolation structures.
[0053] In any of the steps described herein, transferring a pattern from an overlying level to an underlying level involves forming features in the underlying level that generally correspond to features in the overlying level. For example, the path of lines in the underlying level will generally follow the path of lines in the overlying level and the location of other features in the underlying level will correspond to the location of similar features in the overlying level. The precise shapes and sizes of features can vary from the overlying level to the underlying level, however. For example, depending upon etch chemistries and conditions, the sizes of and relative spacings between the features forming the transferred pattern can be enlarged or diminished relative to the pattern on the overlying level, while still resembling the same initial "pattern," as can be seen from the example of shrinking the first resist mask in the embodiments described below. Thus, even with some changes in the dimensions of features, the transferred pattern is still considered to be the same pattern as the initial pattern. In contrast, forming spacers around mask features can change the pattern.
[0054] Reference will now be made to the Figures, wherein like numerals refer to like parts throughout. It will be appreciated that these Figures are not necessarily drawn to scale.
[0055] In a first phase of methods according to the preferred embodiments, a sequence of layers of materials is formed that allow formation of a mask for processing a substrate.
[0056] Figure 2A shows a top view of a portion of an integrated circuit 100. While the preferred embodiments can be used to form any integrated circuit, they are particularly advantageously applied to form devices having arrays of electrical devices, including memory cell arrays for volatile and non-volatile memory devices such as DRAM, ROM or flash memory, including NAND flash memory, or integrated circuits having logic or gate arrays. For example, the logic array can be a field programmable gate array (FPGA) having a core array similar to a memory array and a periphery with supporting logics. Consequently, the integrated circuit 100 can be, e.g., a memory chip or a processor, which can include both a logic array and embedded memory, or any other integrated circuit having a logic or a gate array.
[0057] With continued reference to Figure 2 A, a central region 102, the "array," is surrounded by a peripheral region 104, the "periphery." It will be appreciated that, in a fully formed integrated circuit 100, the array 102 will typically be densely populated with conducting lines and electrical devices such as transistors and capacitors. In a memory device, the electrical devices form a plurality of memory cells, which are typically arranged in a regular grid pattern at the intersection of word lines and bit lines. Desirably, pitch multiplication can be used to form features such as rows/columns of transistors and capacitors in the array 102, as discussed below. On the other hand, the periphery 104 typically comprises features larger than those in the array 102. Conventional photolithography, rather than pitch multiplication, is preferably used to pattern features, such as logic circuitry, in the periphery 104, because the geometric complexity of logic circuits located in the periphery 104 makes using pitch multiplication difficult, whereas the regular grid typical of array patterns is conducive to pitch multiplication. In addition, some devices in the periphery require larger geometries due to electrical constraints, thereby making pitch multiplication less advantageous than conventional photolithography for such devices. In addition to possible differences in relative scale, it will be appreciated by the skilled artisan that the relative positions, and the number of periphery 104 and array 102 regions in the integrated circuit 100 may vary from that depicted.
[0058] Figure 2B shows a cross-sectional side view of the partially formed integrated circuit 100. Various masking layers 120-160 are preferably provided above a substrate 110. The layers 120-160 will be etched to form a mask for patterning the substrate 110, as discussed below.
[0059] The materials for the layers 120-160 overlying the substrate 110 are preferably chosen based upon consideration of the chemistry and process conditions for the various pattern forming and pattern transferring steps discussed herein. Because the layers between a topmost selectively definable layer 120 and the substrate 110 preferably function to transfer a pattern derived from the selectively definable layer 120 to the substrate 110, the layers 130-160 between the selectively definable layer 120 and the substrate 110 are preferably chosen so that they can be selectively etched relative to other exposed materials. It will be appreciated that a material is considered selectively, or preferentially, etched when the etch rate for that material is at least about 2-3 times greater, preferably at least about 10 times greater, more preferably at least about 20 times greater and, most preferably, at least about 40 times greater than that for surrounding materials. Because a goal of the layers 120-155 overlying the primary hard mask layer 160 is to allow well-defined patterns to be formed in that layer 160, it will be appreciated that one or more of the layers 120-155 can be omitted or substituted if suitable other materials, chemistries and/or process conditions are used. For example, the layer 130 can be omitted in some embodiments where the resolution enhancement properties of that layer, as discussed below, are not desired.
[0060] In the illustrated embodiment, the selectively definable layer 120 overlies a first hard mask, or etch stop, layer 130, which overlies a temporary layer 140, which overlies a second (upper) hard mask, or etch stop, layer 150, which overlies a third (lower) hard mask layer 155, which overlies a primary mask layer 160, which overlies the substrate 110 to be processed {e.g., etched) through a mask. Preferably, the mask through which the substrate 110 is processed is formed in the third hard mask layer 155 or in the primary mask layer 160.
[0061] With continued reference to Figure 2B, the selectively definable layer 120 is preferably photodefinable, e.g., formed of a photoresist, including any photoresist known in the art. For example, the photoresist can be any photoresist compatible with 157 nm, 193 nm, 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems. hi addition, maskless lithography, or maskless photolithography, can be used to define the selectively definable layer 120. Examples of preferred photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source. ArF photoresists are preferably used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm. KrF photoresists are preferably used with longer wavelength photolithography systems, such as 248 nm systems, hi other embodiments, the layer 120 and any subsequent resist layers can be formed of a resist that can be patterned by nano- imprint lithography, e.g., by using a mold or mechanical force to pattern the resist.
[0062] The material for the first hard mask layer 130 preferably comprises an inorganic material. Exemplary materials include silicon oxide (SiO2), silicon or a dielectric anti-reflective coating (DARC), such as a silicon-rich silicon oxynitride. Preferably, the first hard mask layer 130 is a dielectric anti-reflective coating (DARC). Using DARCs for the first hard mask layer 130 can be particularly advantageous for forming patterns having pitches near the resolution limits of a photolithographic technique. The DARCs can enhance resolution by minimizing light reflections, thus increasing the precision with which photolithography can define the edges of a pattern.
[0063] The temporaiy layer 140 is preferably formed of amorphous carbon, which, as noted above, offers very high etch selectivity relative to the preferred hard mask materials. More preferably, the amorphous carbon is a form of amorphous carbon that is highly transparent to light and that offers further improvements for photo alignment by being transparent to the wavelengths of light used for such alignment. Deposition techniques for forming such transparent carbon can be found in A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203, the entire disclosure of which is incorporated herein by reference.
[0064] The combination of materials for the second and third hard mask layers 150 and 155 are preferably chosen based upon the material used for the spacers and for the underlying layer 160. As discussed below, the layer 160 is preferably formed of amorphous carbon. Exemplary combinations of the other materials are listed in the table below:
Exemplary Spacer and Hard Mask Materials
Figure imgf000017_0001
[0065] It will be appreciated that the oxide is preferably a form of silicon oxide and the nitride is typically silicon nitride. Where the spacer material is carbon, the temporary layer is preferably a material that is preferentially etchable relative to the carbon. For example, the temporary layer can be formed of a silicon-containing material. Depending on the selection of appropriate etch chemistries and neighboring materials, examples of other hard mask materials include amorphous carbon and etchable high-K materials. [0066] In the illustrated embodiment, the second hard mask layer 150 is formed of silicon, e.g., amorphous silicon. The third hard mask layer 155 is formed of a silicon oxide, e.g., a low silane oxide (LSO). The LSO is formed by chemical vapor deposition using a relatively low silane flow and a relatively high N2O precursor flow. Advantageously, such a deposition can be performed at relatively low temperatures, e.g., less than about 55O0C and, more preferably, less than about 4000C, to prevent damage to the underlying primary mask layer 160, when the layer 160 is formed of a temperature- sensitive material. It will be appreciated that oxides can typically be etched with greater selectivity relative to silicon than nitrides. For example, etch chemistries for oxides can remove the oxides at a rate more than 10 times faster than amorphous silicon, while etch chemistries for nitrides typically only remove the nitrides at a rate of about 3 times faster than amorphous silicon. As a result, both the spacers and the third hard mask layer are preferably formed of the same material, an oxide, when the second hard mask layer is formed of amorphous silicon.
[0067] As noted above, the primary mask layer 160 is preferably formed of amorphous carbon due to its excellent etch selectivity relative to many materials. As noted above, amorphous carbon is particularly advantageous for transferring patterns to difficult to etch substrates, such as a substrate 110 comprising multiple materials or multiple layers of materials, or for forming small and high aspect ratio features.
[0068] In addition to selecting appropriate materials for the various layers, the thicknesses of the layers 120-160 are preferably chosen depending upon compatibility with the etch chemistries and process conditions described herein. As discussed above, when transferring a pattern from an overlying layer to an underlying layer by selectively etching the underlying layer, materials from both layers are removed to some degree. Thus, the upper layer is preferably thick enough so that it is not worn away over the course of the pattern transfer.
[0069] In the illustrated embodiment, the photodefinable layer 120 is preferably about 50-300 nm thick and, more preferably, about 200-250 nm thick. It will be appreciated that, in cases where the layer 120 is a photoresist, this thickness can vary depending upon the wavelength of light used to pattern the layer 120. A thickness of about 50-300 nm thick and, more preferably, about 200-250 nm thick is particularly advantageous for 248 nm wavelength systems. [0070] The first hard mask layer 130 is preferably about 10-40 nm thick and, more preferably, about 15-30 nm thick. The temporary layer 140 is preferably about 50- 200 nm thick and, more preferably, about 80-120 nm thick. The second hard mask layer 150 is preferably about 20-80 nm thick and, more preferably, about 30-50 nm thick and the third hard mask layer 155 is preferably about 10-50 nm thick and, more preferably, about 20-30 nm thick.
[0071] As discussed above, the thickness of the primary mask layer 160 is preferably chosen based upon the selectivity of the etch chemistry for etching the substrate and based upon the materials and complexity of the substrate. Advantageously, it has been found that a thickness of preferably about 100-500 nm and, more preferably, about 200-300 nm is particularly effective for transferring patterns to a variety of substrates, including substrates having a plurality of different materials to be etched during the transfer.
[0072] For example, Figure 2C shows an exemplary substrate 160 comprising a plurality of layers which can be etched to form control gate stacks. A suicide layer 110a overlies a polysilicon layer HOb, which overlies an oxide-nitride-oxide (ONO) composite layer 11 Oc, which overlies a polysilicon layer 11Od.
[0073] The various layers discussed herein can be formed by various methods. For example, spin-on-coating processes can be used to form photodefinable layers. Various vapor deposition processes, such as chemical vapor deposition, can be used to form hard mask layers.
[0074] Preferably, a low temperature chemical vapor deposition (CVD) process is used to deposit the hard mask layers or any other materials, e.g., spacer material, over the primary mask layer 160, especially in cases where the primary mask layer 160 is formed of amorphous carbon.
[0075] Advantageously, it has been found that the second and third hard mask layers 150 and 155 can be deposited at temperatures of less than about 55O0C and, more preferably, less than about 450°C and, most preferably, less than about 4000C. Such low temperature deposition processes advantageously prevent chemical or physical disruption of the amorphous carbon layer(s).
[0076] For example, a LSO, e.g., for forming either the layers 150 or 155, can be deposited by a plasma enhanced CVD (PECVD) process. Various processing systems made by various manufacturers can be used to perform the process, as known in the art. A non-limiting example of a suitable reactor system is the Applied Materials' Producer™ system. In one example of process conditions, SiH4 is preferably flowed into the reactor at a rate of about 50-250 seem and, more preferably, about 150 seem. N2O is flowed into the reactor at a rate of about 400-1000 seem and, more preferably, about 750 seem, and He is flowed into the reactor at a rate of about 2500-4000 seem and, more preferably, about 3500 seem. The pressure within reactor is preferably maintained at about 4-8 torr and, more preferably, about 6.5 torr. The RF power is preferably about 50-200 watts and, more preferably, about 110 watts. The spacing is preferably about 400-600 mils and, more preferably, about 450 mils. Advantageously, it has been found that the LSO can be deposited at a temperature of about 250-4500C and, more preferably, about 375°C.
[0077] It has been found that amorphous silicon, e.g., for forming the other of the layers 150 or 155 can also be deposited at low temperatures by a plasma enhanced CVD (PECVD) process. In one example, SiH4 and He are delivered to the reactor in an Applied Materials' Producer™ system. The SiH4 is preferably flowed at about 80-300 seem and, more preferably, about 150 seem. The He is flowed at about 400-300 seem and, more preferably, about 1800 seem. The pressure within the reactor is preferably about 3-5 toiT and, more preferably, about 3.5 torr and the RF power is preferably about 50-200 watts and, more preferably, about 100 watts. The spacing is preferably about 400- 600 mils and, more preferably, about 450 mils. Advantageously, the amorphous silicon can be deposited at a temperature of about 250-4500C, and, more preferably, about 3750C.
[0078] In addition, the amorphous carbon layers can be formed by chemical vapor deposition using a hydrocarbon compound, or mixtures of such compounds, as carbon precursors. Exemplary precursors include propylene, propyne, propane, butane, butylene, butadiene and acetelyne. A suitable method for forming amorphous carbon layers is described in U.S. Patent No. 6,573,030 Bl, issued to Fairbairn et al. on June 3, 2003, the entire disclosure of which is incorporated herein by reference. In addition, the amorphous carbon may be doped. A suitable method for forming doped amorphous carbon is described in U.S. Patent Application No. 10/652,174 to Yin et al., the entire disclosure of which is incorporated herein by reference.
[0079] In a second phase of methods according to the preferred embodiments, a pattern of spacers is formed by pitch multiplication.
[0080] With reference to Figures 3A and 3B, a pattern comprising spaces or trenches 122, which are delimited by photodefinable material features 124, is formed in the photodefmable layer 120. The trenches 122 can be formed by, e.g., photolithography with 248 nm or 193 nm light, in which the layer 120 is exposed to radiation through a reticle and then developed. After being developed, the remaining photodefmable material, photoresist in the illustrated embodiment, forms mask features such as the illustrated lines 124 (shown in cross-section only).
[0081] The pitch of the resulting lines 124 is equal to the sum of the width of a line 124 and the width of a neighboring space 122. To minimize the critical dimensions of features formed using this pattern of lines 124 and spaces 122, the pitch can be at or near the limits of the photolithographic technique used to pattern the photodefinable layer 120. For example, for photolithography utilizing 248 nm light, the pitch of the lines 124 can be about 100 nm. Thus, the pitch may be at the minimum pitch of the photolithographic technique and the spacer pattern discussed below can advantageously have a pitch below the minimum pitch of the photolithographic technique. Alternatively, because the margin of error for position and feature size typically increases as the limits of a photolithographic technique are approached, the lines 124 can be formed having larger feature sizes, e.g., 200 nm, to minimize errors in the position and sizes of the lines 124.
[0082] As shown in Figures 4A and 4B, the spaces 122 are preferably widened by etching the photoresist lines 124, to form modified spaces 122a and lines 124a. The photoresist lines 124 are preferably etched using an isotropic etch to "shrink" those features. Suitable etches include etches using an oxygen-containing plasma, e.g., a SO2/O2/N2/Ar plasma, a Cl2/O2/He plasma or a HBr/O2/N2 plasma. The extent of the etch is preferably selected so that the widths of the lines 124a are substantially equal to the desired spacing between the later-formed spacers 175, as will be appreciated from the discussion below. For example, the width of the lines 124 can be reduced to from about 80-120 nm to about 40-70 nm. Advantageously, the width-reducing etch allows the lines 124a to be narrower than would otherwise be possible using the photolithographic technique used to pattern the photodefinable layer 120. hi addition, the etch can smooth the edges of the lines 124a, thus improving the uniformity of those lines. While the critical dimensions of the lines 124a can be etched below the resolution limits of the photolithographic technique, it will be appreciated that this etch does not alter the pitch of the spaces 122a and lines 124a, since the distance between identical points in these features remains the same. [0083] With reference to Figure 5, the pattern in the (modified) photodefinable layer 120a is transferred to the hard mask layer 130. This transfer is preferably accomplished using an anisotropic etch, such as an etch using a fiuorocarbon plasma, although a wet (isotropic) etch may also be suitable if the hard mask layer 130 is thin. Preferred fiuorocarbon plasma etch chemistries include CFH3, CF2H2, CF3H and CF4/HBr.
[0084] With reference to Figure 6, the pattern in the photodefinable layer 120a and the hard mask layer 130 is transferred to the temporary layer 140 to allow for deposition of a layer 170 of spacer material (Figure 8). It has been found that the temperatures used for spacer material deposition are typically too high for photoresist to withstand. Thus, the pattern is preferably transferred from the photodefinable layer 120a to the temporary layer 140, which is formed of a material that can withstand the process conditions for spacer material deposition and etch, discussed below. In addition to having higher heat resistance than photoresist, the material forming the temporary layer 140 is preferably selected such that it can be selectively removed relative to the material for the spacers 175 (Figure 10) and the underlying etch stop layer 150. As noted above, the layer 140 is preferably formed of amorphous carbon and, more preferably, transparent carbon.
[0085] The pattern in the modified photodefinable layer 120a is preferably transferred to the temporary layer 140 using a O2-containing plasma, e.g., a plasma containing SO2, O2 and Ar. Other suitable etch chemistries include a Cl2/O2/SiCl4 or SiCl42/N2 or HBr/O2/N2/SiCl4 containing plasma. Advantageously, the SO2-containing plasma is used as it can etch carbon of the preferred temporary layer 140 at a rate greater than 20 times and, more preferably, greater than 40 times the rate that the hard mask layer 130 is etched. A suitable SO2-containing plasma is described in U.S. Patent Application No. 10/931,772 to Abatchev et al, filed August 31, 2004, the entire disclosure of which is incorporate herein by reference. It will be appreciated that the SO2-containing plasma can simultaneously etch the temporary layer 140 and also remove the photodefinable layer 120a. The resulting lines 124b constitute the placeholders or mandrels along which a pattern of spacers 175 (Figure 10) will be formed.
[0086] With reference to Figure 7, the hard mask layer 130 can be removed to facilitate later spacer formation by leaving the temporary layer 140 exposed for subsequent etching (Figure 10). The hard mask layer 130 can be removed using a buffered oxide etch (BOE), which is a wet etch comprising HF and NH4F. [0087] Next, as shown in Figure 8, a layer 170 of spacer material is preferably blanket deposited conformally over exposed surfaces, including the hard mask layer 150 and the top and sidewalls of the temporary layer 140. The spacer material can be any material that can act as a mask for transferring a pattern to the underlying hard mask layer 150. The spacer material preferably: 1) can be deposited with good step coverage; 2) can be deposited at a temperature compatible with the temporary layer 140; and 3) can be selectively etched relative to the temporary layer 140 and underlying hard mask layer 150. Preferred materials include silicon, silicon oxides and silicon nitrides. In the illustrated embodiment, the spacer material is silicon oxide, which provides particular advantages in combination with other selected materials of the masking stack.
[0088] Preferred methods for spacer material deposition include chemical vapor deposition, e.g., using O3 and TEOS to form silicon oxide, and atomic layer deposition, e.g., using a silicon precursor with an oxygen or nitrogen precursor to form silicon oxides and nitrides, respectively. The thickness of the layer 170 is preferably determined based upon the desired width of the spacers 175 (Figure 10). For example, in the one exemplary embodiment, the layer 170 is preferably deposited to a thickness of about 20-80 run and, more preferably, about 40-60 nm. Preferably, the step coverage is about 80% or greater and, more preferably, about 90% or greater.
[0089] With reference to Figures 9 A and 9B, the silicon oxide spacer layer 170 is then subjected to an anisotropic etch to remove spacer material from horizontal surfaces 180 of the partially formed integrated circuit 100. Such an etch, also known as a spacer etch, can be performed using a fluorocarbon plasma, e.g., containing CF4/CHF3, C4F8/CH2F2 or CHF3/Ar plasma.
[0090] With reference to Figure 10, the temporary layer 140 is next removed to leave freestanding spacers 175. The temporary layer 140 is selectively removed using an organic strip process. Preferred etch chemistries include a oxygen-containing plasma etch, such as an etch using SO2.
[0091] Thus, pitch multiplication has been accomplished. In the illustrated embodiment, the pitch of the spacers 175 is roughly half that of the photoresist lines 124 and spaces 122 (Figure 3A) originally formed by photolithography. Where the photoresist lines 124 had a pitch of about 200 nm, spacers 175 having a pitch of about 100 nm or less can be formed. It will be appreciated that because the spacers 175 are formed on the sidewalls of the features or lines 124b, the spacers 175 generally follow the outline of the pattern of features or lines 124a in the modified photodefϊnable layer 120a and, so, typically form a closed loop in the spaces 122a between the lines 124a. The spacers 175 form a first pattern 177.
[0092] Next, in a third phase of methods according to the preferred embodiments, a second pattern is formed over the first pattern 177. Preferably, the second pattern comprises features having larger critical dimensions than the first pattern 177. In addition, the second pattern can be formed completely, partially, or not overlapping the first pattern 177.
[0093] To allow the second pattern to be formed, a planar surface is formed by depositing a planarizing material around the spacers 175 to form a planarizing layer 200, as shown in Figure 11. A selectively definable layer 220 is then formed on the planarizing material to allow for patterning of the second pattern at the periphery 104.
[0094] The planarizing layer 200 is preferably at least as tall as the spacers 175. In addition, the protective layer 200 is preferably formed of a material that can be selectively etched relative to both the spacers 175 and the selectively definable layer 220. For example, the planarizing layer 200 can be formed of a spin-on anti-reflective coating, such as a bottom anti-reflective coating (BARC).
[0095] As with the selectively definable layer 120, the selectively definable layer 220 is preferably photodefϊnable, e.g., formed of a photoresist, including any photoresist known in the art. In addition, in other embodiments, the layer 220 can be formed of a resist suitable for patterning by nano-imprint lithography.
[0096] In some preferred embodiments, the planarizing layer 200 can be omitted and the selectively definable layer 220 can be formed directly on and around the spacers 175. Such a scheme can be employed where the patterns can be defined in the layer 220 with good integrity and where the resolution enhancement properties of an anti- reflective coating are not desired. For example, the anti-reflective coating can be omitted if the material underlying the selectively definable layer 220 is sufficiently non-reflective.
[0097] With reference to Figure 12, the photodefϊnable layer 220 is patterned using, e.g., the same photolithographic technique used to pattern the photodefinable layer 120. Thus, a pattern 230 is formed in the photodefinable layer 220. Where the pattern 230 is used to mask features in the periphery 104, the area in the photodefinable layer 220 in the array 102 is preferably open, as illustrated. As noted above, however, while illustrated laterally adjacent the pattern 177, the pattern 230 can partially or completely overlap the pattern 177 or be completely separated from the pattern 177. Thus, the use of different reference numerals (177 and 230) for these patterns indicates that they were originally formed in different steps.
[0098] While the pattern 177 preferably has a pitch or feature size smaller than the minimum pitch or resolution of the photolithographic technique used in forming it, the pattern 230 preferably has a pitch or feature size equal to or greater than the minimum pitch or resolution of the photolithographic technique used to form that pattern. It will be appreciated that the pattern 230 at the periphery 104 can be used to form landing pads, transistors, local interconnects, etc.
[0099] In a fourth phase of methods according to the preferred embodiments, the patterns 177 and 230 are consolidated on one level below the spacers and simultaneously transferred to the substrate 110.
[0100] With reference to Figure 13, the pattern 230 is transferred to the same level as the pattern 177 of spacers 175. An anisotropic BARC etch is performed to define the periphery features in the protective layer 210 and to also open up the array features. The parts of the protective layer 210 that are unprotected by parts of the photodefinable layer 220 are preferably selectively etched using an anisotropic etch, using, e.g., a HBr/O2 plasma or a SO2-containing plasma. This etch preferentially removes the protective layer 200 around the oxide spacers 175, thereby leaving those spacers 175 exposed.
[0101] With reference to Figures 14-16, the second and third hard mask layers are etched to transfer the patterns 177 and 230 down to the primary mask layer 160, to form a mixed pattern in the primary mask layer 160. With reference to Figure 14, the patterns 177 and 230 are first both transferred to the second hard mask layer 150. Where the second hard mask 150 is formed of amorphous silicon, it is preferably anisotropically etched using, e.g., a HBr and Cl2 containing plasma. Such an etch preferably etches the amorphous silicon at a rate greater than about 5 times and, more preferably, greater than about 10 times the rate at which the silicon oxide spacers 175 and silicon oxide third hard mask 155 can be etched.
[0102] With reference to Figure 15, the first and second patterns 177 and 230 are cleaned. As noted above, the carbon material forming the photoresist and DARC layers 220 and 210 can polymerize upon contact with etchants. For example, the HBr/Cl2 etch of the second hard mask layer 150 can cause parts of the layers 220 and 210 to polymerize and leave a residue around features in the second hard mask layer 150, causing a pattern having undesirably non-uniform features. Thus, the patterns 177 and 230 are preferably cleaned by stripping off an organic or carbon-containing material. The organic material or carbon strip can be accomplished using, e.g., an isotropic etch with O2 plasma.
[0103] With reference to Figure 16, the patterns 177 and 230 are then both transferred to the third hard mask layer 155. Where the third hard mask 155 is formed of a LSO, it is preferably anisotropically etched using, e.g., a fluorocarbon plasma. The fluorocarbon plasma preferably includes C4F8, CH2F2, Ar and O2 and can preferably etch the silicon oxide and the amorphous carbon at equal rates and, more preferably, can etch the silicon oxide at a rate greater than about 10 times the rate at which the amorphous silicon layer 150 is etched.
[0104] With reference to Figure 17, the patterns 177 and 230 are transferred to the primary mask layer 160. The transfer is preferably accomplished by anisotropically etching the primary mask layer 160, preferably using a SO2-containing plasma. Other suitable etch chemistries include a C12/O2, HBr/O2/N2 or SiCl4/O2/N2/HBr or SiCl4/O2- containing plasma. As noted above, the SO2-containing plasma is preferably used as it has been found to have excellent selectivity for the amorphous carbon of the primary mask layer 160 relative to the hard mask layers 150 and 155. Thus, a thick enough mask can be formed in the primary mask layer 160 to later effectively transfer the mask pattern to the substrate 110, particularly through multiple materials of the substrate using selective etch chemistries and without wearing away the primary mask layer 160 before the pattern transfer is complete.
[0105] With reference to Figure 18, after being transferred to the primary mask layer 160, the patterns 177 and 230 are transferred to the substrate 110 using the layer 160 as a mask. Given the disparate materials typically used for the primary mask layer 160 and the substrate 110 (e.g., amorphous carbon and silicon or silicon compounds, respectively), the pattern transfer can be readily accomplished using etch chemistries appropriate for etching the material or materials of the substrate 110. For example, a fluorocarbon etch comprising CF4, CHF3 and/or NF3 containing plasma can be used to etch silicon nitride, a fluorocarbon etch comprising CF4, CHF3, CH2F2 and/or C4F8 containing plasma can be used to etch silicon oxide and a HBr, Cl2, NF3, SF6 and/or CF4 containing plasma etch can be used to etch silicon. In addition, the skilled artisan can readily determine suitable etch chemistries for other substrate materials, such as conductors, including aluminum, transition metals, and transition metal nitrides. For example, an aluminum substrate can be etched using a fluorocarbon etch.
[0106] It will be appreciated that where the substrate 110 comprises layers of different materials, a succession of different chemistries, preferably dry-etch chemistries, can be used to successively etch through these different layers, if a single chemistry is not sufficient to etch all the different materials. It will also be appreciated that, depending upon the chemistry or chemistries used, the spacers 175 and the hard mask layer 150 may be etched. Using amorphous carbon for the primary mask layer 160, however, advantageously offers excellent resistance to conventional etch chemistries, especially those used for etching silicon-containing materials. Thus, the primary mask layer 160 can effectively be used as a mask for etching through a plurality of substrate layers, or for forming high aspect ratio trenches, hi addition, the pitch doubled pattern 177 and the pattern 230 formed by conventional lithography can simultaneously be transferred to the substrate 110, or each individual layer of the substrate 110, in a single etch step.
[0107] hi one example, the sequence of substrate layers 11 Oa-11Od can be etched using various etch chemistries, which preferably anisotropically etch the various layers. The suicide layer HOa can be etched using a C12/CF4 plasma at a pressure of about 3-10 mTorr, with about 200-350 watt source power and about 50-100 watt bias power; the polysilicon layer HOb can etched be using a HBr/Cl2 plasma at a pressure of about 10-30 mTorr, with about 300-500 watt source power and about 20-50 watt bias power; the oxide-nitride-oxide (ONO) composite layer HOc can be etched using a CF4/CH2F2/He plasma at a pressure of about 5-10 mTorr, with about 600-1000 watt soruce power and about 200-400 watt bias power; and the polysilicon layer 11 Od can be etched using a HBr/He/O2 plasma at a pressure of about 40-80 mTorr, with about 250-400 watt soruce power and about 50-100 watt bias power.
[0108] With reference to Figure 19, in some preferred embodiments, the spacers 175 can be removed before using the primary mask layer 160 to transfer the patterns 177 and 230 to the substrate 110. The removal is preferably performed using an etch selective for the spacers 175. For example, where the spacers 175 comprise a silicon oxide, the spacer removal can be accomplished using a wet or dry etch, e.g., a wet buffered oxide etch or a dry etch using a CH2F2/C4F8/Ar/θ2 plasma. As noted above, this spacer removal can advantageously straighten and/or stabilize the profile of the features forming the patterns 177 and 230, especially where the features are taller than optimal for etching the substrate 110.
[0109] Figure 20 shows a structure resulting after etching the substrate 110. As noted above, the substrate 110 can be any layer of material or materials that the patterns 177 and 230 are etched into. The composition of the substrate 110 can depend upon, e.g., the electrical device to be formed. Thus, in Figure 19, the substrate 110 comprises a suicide layer 110a, a polysilicon layer 110b, an oxide-nitride-oxide (ONO) composite layer HOc and a floating gate (FG) polysilicon layer 11Od. On the right hand side of the figure, this sequence of layers forms a source select gate (SG) control line HOe. Note that all the illustrated features are located in the array, although the SG control line HOe has a relatively large critical dimension due to being defined using the pattern 230. Such an arrangement of layers can be advantageously used in the formation of, e.g., a control gate stack for NAND flash memory.
[0110] Note that the etched surfaces exhibit exceptionally low edge roughness. In addition, the trenches formed in the array show excellent uniformity, even at the low 100 nm pitch (50 nm feature size) pictured. Advantageously, these results are achieved while also forming well-defined and smooth lines in the periphery, which can have a width significantly greater than about 100 nm, e.g., about 250 nm in the illustrated structure.
[0111] It will be appreciated that the formation of patterns according to the preferred embodiments offers numerous advantages. For example, the ability to deposit the second and third hard mask layers 150 and 155 at low temperatures of, e.g., less than about 55O0C, more preferably, less than about 4000C maintains the structural and chemical integrity of the amorphous carbon layer 160. Moreover, the third hard mask layer 155 can provide a buffer to protect the amorphous carbon layer 160 from etch chemistries employed for overlying materials. Advantageously, the third hard mask layer 155 allows overlying patterns to be cleaned without undesirably etching the amorphous carbon layer 160. Thus, the definition of the patterns can be improved and unwanted materials, such as polymerized organics, can be effectively removed.
[0112] In addition, because multiple patterns, with differently-sized features, can be consolidated on a single final mask layer before being transferred to a substrate, overlapping patterns can easily be transferred to the substrate. Thus, pitch-doubled features and features formed by conventional photolithography can easily be formed connected to each other. Moreover, as evident in Figure 20, exceptionally small features can be formed, while at the same time achieving exceptionally low line edge roughness. While not limited by theory, it is believed that such low line edge roughness is the result of the use of the layers 140 and 160. Forming the spacers 175 and performing multiple anisotropic etches to transfer the patterns 177 and 230 from the level of the temporary layer 140 to the primary mask layer 160 and then to the substrate 110 are believed to beneficially smooth the surfaces of the features forming the patterns 177 and 230. Moreover, the preferred amorphous carbon etch chemistries disclosed herein allow the use of thin hard mask layers, such as the layers 130, 150, and 155 relative to the depth that underlying amorphous carbon layers, such as the layers 140 and 160, are etched. This advantageously allows the layers 140 and 160 to be more easily and effectively etched, hi addition, demands on the identity and etch selectivity for the layers (e.g., the photoresist layers in Figure 5) overlying the hard mask layers are reduced, since the hard mask layers 130, 150 and 155 do not need to be etched to a great depth.
[0113] It will also be, appreciated that various modifications of the illustrated process flow are possible. For example, pitch multiplied patterns typically formed closed loops, since the patterns are formed by spacers that formed along the wall of a mandrel. Consequently, where the pitch multiplied pattern is used to form conductive lines, additional processing steps are preferably used to cut off the ends of these loops, so that each loop forms two individual, non-connected lines. This can be accomplished, for example, by forming a protective mask around the parts of the lines to be maintained, while etching away the unprotected ends of the masks. A suitable method for cutting off the ends of the loops is disclosed in U.S. Patent Application No. 10/931,771 to Tran et ah, filed August 31, 2004, the entire disclosure of which is incorporated be reference herein.
[0114] hi addition to forming gate control stacks, it will be appreciated that the preferred embodiments can be employed to form interconnect lines and associated integrated circuit features, such as landing pads. Figures 21 A and 2 IB show top views of an integrated circuit after the etching way the ends of the loops to form individual conductive interconnects. Figure 21 A shows the ends of the loops formed with landing pads for each interconnect, while Figure 21B shows the other end of the interconnects. It will be appreciated that the magnifications for each figure is different. Methods for forming interconnects and landing pads are disclosed in U.S. Patent Application No. 10/931,771 to Tran et al, filed August 31, 2004, the entire disclosure of which is incorporated herein by reference.
[0115] It will also be appreciated that the pitch of the pattern 177 can be more than doubled. For example, the pattern 177 can be further pitch multiplied by forming spacers around the spacers 175, then removing the spacers 175, then forming spacers around the spacers that were formerly around the spacers 175, and so on. An exemplary method for further pitch multiplication is discussed in U.S. Patent No. 5,328,810 to Lowrey et al. In addition, while the preferred embodiments can advantageously be applied to form patterns having both pitch multiplied and conventionally photolithographically defined features, the patterns 177 and 230 can both be pitch multiplied or can have different degrees of pitch multiplication.
[0116] Moreover, more than two patterns 177 and 230 can be consolidated on the primary mask layer 160 if desired. In such cases, additional mask layers can be deposited between the layers 140 and 160. For example, the patterns 177 and 230 can be transferred to an additional mask layer overlying the hard mask layer 150 and then the sequence of steps illustrated in Figures 11-16 can be performed to protect the patterns 177 and 230, to form a new pattern in an overlying photodefϊnable layer, and to transfer the patterns to the substrate 110. The additional mask layer preferably comprises a material that can be selectively etched relative to the hard mask layer 150 and a protective layer that surrounds the patterns 177 and 230 after being transferred to the additional mask layer.
[0117] In addition, the preferred embodiments can be employed multiple times throughout an integrated circuit fabrication process to form pitch multiplied features in a plurality of layers or vertical levels, which may be vertically contiguous or noncontiguous and vertically separated. In such cases, each of the individual levels to be patterned would constitute a substrate 110 and the various layers 120-220 can formed over the individual level to be patterned. It will also be appreciated that the particular composition and height of the various layers 120-220 discussed above can be varied depending upon a particular application. For example, the thickness of the layer 160 can be varied depending upon the identity of the substrate 110, e.g., the chemical composition of the substrate, whether the substrate comprises single or multiple layers of material, the depth of features to be formed, etc., and the available etch chemistries. In some cases, one or more layers of the layer 120-220 can be omitted or more layers can be added. For example, the layer 160 can be omitted in cases where the hard mask layers 150 and/or 155 are sufficient to adequately transfer a pattern to the substrate 110.
[0118] Also, while "processing" through the various mask layers preferably involves etching an underlying layer, processing through the mask layers can involve subjecting layers underlying the mask layers to any semiconductor fabrication process. For example, processing can involve ion implantation, diffusion doping, depositing, or wet etching, etc. through the mask layers and onto underlying layers. In addition, the mask layers can be used as a stop or barrier for chemical mechanical polishing (CMP) or CMP can be performed on any of the layers to allow for both planarization and etching of the underlying layers, as discussed in U.S. Provisional Patent Application No. 60/666,031, filed March 28, 2005, the entire disclosure of which is incorporated by reference herein.
[0119] Accordingly, it will be appreciated by those skilled in the art that various other omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. AU such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims

WE CLAIM:
1. A method of integrated circuit fabrication, comprising: forming a plurality of mandrels over a substrate; forming spacers on sidewalls of the mandrels; selectively removing the mandrels relative to the spacers to form a spacer pattern defined by the spacers; depositing a planarizing material around the spacers to form a planar upper surface; forming a pattern in the planarizing material; transferring the spacer pattern and the pattern in the planarizing material into an underlying upper hard mask layer to form a consolidated pattern in the upper hardmask layer; transferring the consolidated pattern into an underlying lower hard mask layer; and transferring the consolidated pattern into an amorphous carbon layer overlying the substrate.
2. The method of Claim 1, further comprising stripping organic material from exposed surfaces after forming the pattern in the planarizing material and before transferring the spacer pattern and the pattern in the planarizing material into the underlying upper hard mask layer.
3. The method of Claim 1, further comprising transferring the consolidated pattern into the substrate.
4. The method of Claim 1, wherein forming the plurality of mandrels comprises: photolithographically defining features corresponding to the mandrels in a photoresist layer; and transferring a pattern formed by the features to a temporary layer underlying the photoresist layer, wherein transferring the pattern formed by the features defines the mandrels in the temporary layer.
5. The method of Claim 1, wherein forming the pattern in the planarizing material comprises: depositing a photoresist layer over the planar upper surface; patterning the photoresist layer; and transferring the pattern in the photoresist layer to the planarizing material.
6. A method for forming an integrated circuit, comprising: forming an amorphous carbon layer over a substrate; forming a lower hard mask layer over the amorphous carbon layer; forming an upper hard mask layer on the lower hard mask layer; forming a temporary layer over the upper hard mask layer; and forming a first hard mask layer over the temporary layer.
7. The method of Claim 6, further comprising depositing a photoresist layer over the first hard mask layer and forming a photoresist pattern in the photoresist layer.
8. The method of Claim 7, further comprising transferring the photoresist pattern to the first hard mask layer.
9. The method of Claim 8, further comprising transferring the photoresist pattern to the temporary layer to form a plurality of features and voids in the temporary layer.
10. The method of Claim 9, further comprising forming spacers on sidewalls of the temporary layer features
11. The method of Claim 10, wherein forming spacers comprises: depositing a layer of spacer material around and over features of the temporary layer; and anisotropically etching the layer of spacer material.
12. The method of Claim 10 or 11, further comprising preferentially removing the temporary layer relative to the spacers to form free-standing spacers, wherein the free-standing spacers form a spacer pattern.
13. The method of Claim 12, wherein forming the photoresist pattern in the photoresist layer comprises performing photolithography, wherein a pitch of the freestanding spacers is less than a minimum pitch of a photolithographic technique used for forming the photoresist pattern.
14. The method of Claim 12 or 13, further comprising depositing a planarizing layer around the spacers, depositing an other photoresist layer over the planarizing layer and forming a second pattern in the other photoresist layer.
15. The method of Claim 14, further comprising transferring the second pattern to the planarizing layer.
16. The method of Claim 15, further comprising transferring the spacer and second patterns to the upper hard mask layer.
17. The method of Claim 16, further comprising transferring the spacer and second patterns from the upper hard mask layer to the lower hard mask layer.
18. The method of Claim 17, further comprising performing a carbon strip before transferring the spacer and second patterns from the upper hard mask layer to the lower hard mask layer.
19. The method of Claim 17 or 18, further comprising transferring the spacer and second patterns from the lower hard mask layer to the amorphous carbon layer.
20. The method of Claim 19, further comprising transferring the spacer and second patterns from the amorphous carbon layer to the substrate.
21. The method of Claim 20, further comprising selectively removing the spacers before etching the substrate.
22. The method of Claim 6 or 19, wherein the spacers extend in spaced, generally parallel relation to one another at least between first and second spaced planes extending perpendicular to the spacers.
23. The method of Claims 6 or 19, wherein the temporary layer is formed of amorphous carbon.
24. A method of integrated circuit fabrication, comprising: forming an amorphous carbon layer over a substrate; and depositing a hard mask layer on the amorphous carbon layer at a temperature less than about 45O0C.
25. The method of Claim 24, wherein the hard mask layer is formed of amorphous silicon or an oxide.
26. The method of Claim 25, wherein the oxide is silicon oxide.
27. The method of Claim 25 or 26, wherein the temperature is less than about 400°C.
28. The method of Claim 25 or 27, wherein depositing the hard mask layer comprises performing a plasma enhanced chemical vapor deposition.
29. The method of Claim 27, further comprising depositing an other hard mask layer on the hard mask layer, wherein the other hard mask layer is formed of amorphous silicon or an oxide, wherein the amorphous silicon or the oxide is formed of a different material from the hard mask layer.
30. The method of Claim 29, wherein depositing the other hard mask layer is performed at less than about 45O0C.
31. The method of Claim 30, wherein depositing the other hard mask layer is performed at less than about 4000C.
32. The method of Claim 31, wherein depositing the other hard mask layer comprises forming a silicon layer, wherein forming the silicon layer comprises a plasma enhanced chemical vapor deposition.
33. A partially formed integrated circuit, comprising: a substrate; a primary mask layer overlying the substrate, the primary mask layer formed of a material different from photoresist; a lower hard mask layer overlying the primary mask layer; an upper hard mask layer overlying the lower mask layer; a mask material defining a first pattern in a first plane overlying the upper hard mask layer, the mask material different from photoresist; and a photodefmable material defining a second pattern over the upper hard mask layer,
34. The partially formed integrated circuit of Claim 33, wherein the second pattern is disposed in a second plane overlying the mask material.
35. The partially formed integrated circuit of Claim 33, wherein the mask material is surrounded by and in contact with a planarizing material selectively removable relative to the mask material, wherein the photodefinable material is on the planarizing material.
36. The partially formed integrated circuit of Claim 35, wherein the planarizing material is a spin-on anti-reflective coating.
37. The partially formed integrated circuit of Claim 33, wherein the primary mask layer is an amorphous carbon layer.
38. The partially formed integrated circuit of Claim 33, wherein the lower hard mask layer is formed of a material chosen from the group consisting of silicon, silicon oxide and silicon nitride.
39. The partially formed integrated circuit of Claim 38, wherein the upper hard mask layer is formed of a material chosen from the group consisting of silicon, silicon oxide and silicon nitride, wherein the material forming the upper hard mask layer is different from the material forming the lower hard mask layer.
40. The partially formed integrated circuit of Claim 39, wherein the mask material is chosen from the group consisting of silicon, silicon oxide and silicon nitride, wherein the material forming the mask material is different from the material forming the upper hard mask layer.
41. The partially formed integrated circuit of Claim 33, wherein the photodefinable material is a photoresist compatible with 13.7 nm, 157 nm, 193 run, 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems or electron beam lithographic systems.
42. The partially formed integrated circuit of Claim 33, wherein the partially formed integrated circuit is a partially formed memory device, wherein features of the first pattern correspond to features of a memory array.
43. The partially formed integrated circuit of Claim 42, wherein features of the second pattern correspond to features of logic circuit in a periphery of the partially formed integrated circuit.
PCT/US2006/007739 2005-03-15 2006-03-03 Pitch reduced patterns relative to photolithography features WO2006101695A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE602006011289T DE602006011289D1 (en) 2005-03-15 2006-03-03 PATTERNS WITH LESS SPOT IN CONNECTION WITH PHOTOLITHOGRAPHIC CHARACTERISTICS
JP2008501908A JP4945740B2 (en) 2005-03-15 2006-03-03 Patterns with a narrower pitch than photolithography structures
EP06736975A EP1861864B1 (en) 2005-03-15 2006-03-03 Pitch reduced patterns relative to photolithography features

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US66232305P 2005-03-15 2005-03-15
US60/662,323 2005-03-15
US11/214,544 US7253118B2 (en) 2005-03-15 2005-08-29 Pitch reduced patterns relative to photolithography features
US11/214,544 2005-08-29

Publications (2)

Publication Number Publication Date
WO2006101695A1 true WO2006101695A1 (en) 2006-09-28
WO2006101695B1 WO2006101695B1 (en) 2006-11-23

Family

ID=36625823

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/007739 WO2006101695A1 (en) 2005-03-15 2006-03-03 Pitch reduced patterns relative to photolithography features

Country Status (7)

Country Link
US (7) US7253118B2 (en)
EP (1) EP1861864B1 (en)
JP (1) JP4945740B2 (en)
KR (1) KR100921588B1 (en)
DE (1) DE602006011289D1 (en)
TW (1) TWI302635B (en)
WO (1) WO2006101695A1 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006024735A1 (en) * 2006-03-15 2007-10-18 Infineon Technologies Ag Hard mask layer stack and method of patterning a layer using the hard mask layer stack
DE102006019413A1 (en) * 2006-04-26 2008-01-31 Qimonda Ag Semiconductor device structure formation method involves removing sacrificial material which is arranged in horizontal and vertical portions for structuring hardmask
JP2008072097A (en) * 2006-09-12 2008-03-27 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device
JP2008091925A (en) * 2006-10-02 2008-04-17 Samsung Electronics Co Ltd Method of forming pad patterns using self-align double patterning method, pad pattern layout formed using the same, and method of forming contact holes using self-align double patterning method
JP2008091927A (en) * 2006-10-02 2008-04-17 Samsung Electronics Co Ltd Semiconductor device with conductive line of very narrow line width and method of manufacturing the same
JP2008103718A (en) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd Method for forming fine pattern of semiconductor element
JP2008124444A (en) * 2006-11-10 2008-05-29 Samsung Electronics Co Ltd Method for forming fine pattern of semiconductor device
KR100838380B1 (en) * 2007-03-26 2008-06-13 주식회사 하이닉스반도체 Method for forming trench in semiconductor device
JP2008166693A (en) * 2006-12-28 2008-07-17 Hynix Semiconductor Inc Pattern formation method of semiconductor element
WO2008091343A1 (en) * 2007-01-22 2008-07-31 Atdf, Inc. Methods for fabricating semiconductor structures
JP2008210930A (en) * 2007-02-26 2008-09-11 Elpida Memory Inc Manufacturing method of semiconductor device
JP2008218516A (en) * 2007-02-28 2008-09-18 Toshiba Corp Pattern evaluation method, evaluation mark, and method for manufacturing the same
JP2008300833A (en) * 2007-05-29 2008-12-11 Qimonda Ag Method of manufacturing structure on substrate or in substrate, imaging layer for generating sublithographic structure, method of inverting sublithographic pattern, and device obtainable by manufacturing structure
JP2009004769A (en) * 2007-06-01 2009-01-08 Applied Materials Inc Frequency doubling using spacer mask
JP2009010317A (en) * 2007-06-26 2009-01-15 Hynix Semiconductor Inc Semiconductor device fine patterning method
JP2009027146A (en) * 2007-06-01 2009-02-05 Applied Materials Inc Frequency tripling using spacer mask having interposed regions
JP2009076902A (en) * 2007-09-18 2009-04-09 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device
WO2009058744A1 (en) * 2007-11-01 2009-05-07 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
JP2009124134A (en) * 2007-10-26 2009-06-04 Applied Materials Inc Frequency doubling method using photoresist template mask
JP2009164581A (en) * 2007-12-28 2009-07-23 Hynix Semiconductor Inc Method for manufacturing semiconductor device
JP2009170863A (en) * 2008-01-14 2009-07-30 Hynix Semiconductor Inc Method of forming pattern of semiconductor device
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
JP2009246332A (en) * 2008-03-28 2009-10-22 Samsung Electronics Co Ltd Method of forming fine pattern of semiconductor device
WO2009150870A1 (en) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 Semiconductor device manufacturing method
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP2010520639A (en) * 2007-03-05 2010-06-10 マイクロン テクノロジー, インク. Semiconductor structure, method for forming a plurality of lines, and method for forming a high density structure and a low density structure with a single photomask
JP2010527138A (en) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. Simplified pitch doubling process
JP2010530139A (en) * 2007-06-15 2010-09-02 アプライド マテリアルズ インコーポレイテッド Low temperature SACVD process for pattern loading applications
JP2010531051A (en) * 2007-06-04 2010-09-16 マイクロン テクノロジー, インク. Pitch multiplication using self-organizing materials
JP2010534924A (en) * 2007-06-15 2010-11-11 アプライド マテリアルズ インコーポレイテッド Oxygen SACVD to form a sacrificial oxide liner in the substrate gap
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US8021933B2 (en) 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
JP2011215371A (en) * 2010-03-31 2011-10-27 Toshiba Corp Method of producing mask
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8513135B2 (en) 2007-07-12 2013-08-20 Micron Technology, Inc. Methods of modifying oxide spacers
KR101368544B1 (en) * 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. Simplified pitch doubling process flow
US8828868B2 (en) 2011-05-20 2014-09-09 Semiconductor Manufacturing International (Beijing) Corporation Method for forming hard mask in semiconductor device fabrication
JP2015122516A (en) * 2007-12-18 2015-07-02 マイクロン テクノロジー, インク. Method for separating portion of loop of pitch-multiplied material and relevant structure thereof

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4223348B2 (en) * 2003-07-31 2009-02-12 Tdk株式会社 Magnetic recording medium manufacturing method and manufacturing apparatus
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
JP2006012332A (en) * 2004-06-28 2006-01-12 Tdk Corp Dry etching method, method of manufacturing magnetic recording medium, and magnetic recording medium
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640640B1 (en) * 2005-04-19 2006-10-31 삼성전자주식회사 Method of forming fine pattern of semiconductor device using fine pitch hardmask
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100660718B1 (en) * 2005-12-20 2006-12-21 동부일렉트로닉스 주식회사 Method for forming floating gate array of flash memory device
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070212892A1 (en) * 2006-03-07 2007-09-13 Dirk Caspary Method of forming semiconductor device structures using hardmasks
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7560201B2 (en) * 2006-05-24 2009-07-14 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
KR100823706B1 (en) 2006-07-21 2008-04-21 삼성전자주식회사 Signal line structure of semiconductor device and method of manufacturing the same
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR20080022375A (en) * 2006-09-06 2008-03-11 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
EP2092393A2 (en) * 2006-11-14 2009-08-26 Nxp B.V. Double patterning for lithography to increase feature spatial density
US7867843B2 (en) * 2006-12-22 2011-01-11 Intel Corporation Gate structures for flash memory and methods of making same
KR100866723B1 (en) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 Semiconductor device and method for forming fine pattern of the same
KR100842762B1 (en) * 2007-01-04 2008-07-01 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
US20080241574A1 (en) * 2007-03-26 2008-10-02 Advanced Micro Devices, Inc. Semiconductor device having structure with sub-lithography dimensions
US9460924B2 (en) * 2007-03-26 2016-10-04 GlobalFoundries, Inc. Semiconductor device having structure with fractional dimension of the minimum dimension of a lithography system
JP4384199B2 (en) * 2007-04-04 2009-12-16 株式会社東芝 Manufacturing method of semiconductor device
KR100880323B1 (en) * 2007-05-11 2009-01-28 주식회사 하이닉스반도체 Method for manufacturing of flash memory device
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
KR100876892B1 (en) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100842753B1 (en) * 2007-06-29 2008-07-01 주식회사 하이닉스반도체 Method of fabricting pattern in semiconductor device using spacer
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090035902A1 (en) * 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated method of fabricating a memory device with reduced pitch
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
KR100955265B1 (en) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 Method for forming micropattern in semiconductor device
JP2009088085A (en) * 2007-09-28 2009-04-23 Tokyo Electron Ltd Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
KR101061316B1 (en) * 2007-09-28 2011-08-31 주식회사 하이닉스반도체 Method of forming fine pattern of semiconductor device
JP5236983B2 (en) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
KR100877111B1 (en) * 2007-10-04 2009-01-07 주식회사 하이닉스반도체 Method for fabricating small pattern
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
KR100875662B1 (en) 2007-11-02 2008-12-26 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7846812B2 (en) * 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
KR100983724B1 (en) * 2007-12-20 2010-09-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100924193B1 (en) * 2007-12-24 2009-10-29 주식회사 하이닉스반도체 Method for manufacturing the semiconductor device
KR100919349B1 (en) * 2007-12-27 2009-09-25 주식회사 하이닉스반도체 Method of forming metal wiring in flash memory device
JP5269428B2 (en) * 2008-02-01 2013-08-21 株式会社東芝 Semiconductor device and manufacturing method thereof
US20090197086A1 (en) * 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
JP4630906B2 (en) * 2008-02-29 2011-02-09 株式会社東芝 Manufacturing method of semiconductor device
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
KR101139460B1 (en) * 2008-03-26 2012-05-02 에스케이하이닉스 주식회사 Method of Manufacturing Semiconductor Device
KR20090110172A (en) * 2008-04-17 2009-10-21 삼성전자주식회사 Method of forming fine patterns of semiconductor device
US8106519B2 (en) * 2008-04-22 2012-01-31 Macronix International Co., Ltd. Methods for pitch reduction
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (en) * 2008-06-05 2009-12-17 Toshiba Corp Method of manufacturing semiconductor device
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
KR101468028B1 (en) * 2008-06-17 2014-12-02 삼성전자주식회사 Method of forming fine patterns of semiconductor device
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8318408B2 (en) * 2008-07-28 2012-11-27 Hynix Semiconductor Inc. Method of forming patterns of semiconductor device
KR101435520B1 (en) 2008-08-11 2014-09-01 삼성전자주식회사 Semiconductor device and method of forming patterns for semiconductor device
US8222159B2 (en) * 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8048762B2 (en) * 2008-08-25 2011-11-01 Elpida Memory, Inc. Manufacturing method of semiconductor device
KR100994714B1 (en) * 2008-08-29 2010-11-17 주식회사 하이닉스반도체 Method for fabricating semicondoctor device
US9236448B2 (en) * 2008-09-02 2016-01-12 Cypress Semiconductor Corporation Method for achieving very small feature size in semiconductor device by undertaking silicide sidewall growth and etching
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5511168B2 (en) * 2008-09-19 2014-06-04 スパンション エルエルシー Manufacturing method of semiconductor device
KR101540083B1 (en) * 2008-10-22 2015-07-30 삼성전자주식회사 Method of forming patterns for semiconductor device
KR20100049334A (en) * 2008-11-03 2010-05-12 주식회사 하이닉스반도체 Method for forming pattern of semiconductor device
US8187480B2 (en) * 2008-11-13 2012-05-29 Seagate Technology, Llc Ultra thin alignment walls for di-block copolymer
JP2010118501A (en) * 2008-11-13 2010-05-27 Toshiba Corp Method for manufacturing semiconductor device
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP4719910B2 (en) * 2008-11-26 2011-07-06 国立大学法人東北大学 Manufacturing method of semiconductor device
US8048813B2 (en) * 2008-12-01 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing delamination in the fabrication of small-pitch devices
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101532012B1 (en) * 2008-12-24 2015-06-30 삼성전자주식회사 Semiconductor device and method of forming patterns for semiconductor device
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
KR100994715B1 (en) * 2008-12-31 2010-11-17 주식회사 하이닉스반도체 Method for forming fine pattern using quadruple patterning in semiconductor device
US8084347B2 (en) * 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8138092B2 (en) 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
KR101016826B1 (en) * 2009-02-13 2011-02-21 주식회사 하이닉스반도체 Method of forming a pattern of a semi conductor
KR101618749B1 (en) * 2009-02-27 2016-05-09 삼성전자주식회사 Method of forming patterns for semiconductor device
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US7989336B2 (en) * 2009-05-06 2011-08-02 Micron Technology, Inc. Methods of forming a plurality of conductive lines in the fabrication of integrated circuitry, methods of forming an array of conductive lines, and integrated circuitry
US8399183B2 (en) * 2009-05-13 2013-03-19 Synopsys, Inc. Patterning a single integrated circuit layer using automatically-generated masks and multiple masking layers
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8268730B2 (en) * 2009-06-03 2012-09-18 Micron Technology, Inc. Methods of masking semiconductor device structures
US7972926B2 (en) 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
US8110466B2 (en) * 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8003482B2 (en) 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR20110064661A (en) * 2009-12-08 2011-06-15 삼성전자주식회사 Method of fabricating semiconductor device
US8222704B2 (en) * 2009-12-31 2012-07-17 Nantero, Inc. Compact electrical switching devices with nanotube elements, and methods of making same
US20110244398A1 (en) * 2010-03-30 2011-10-06 United Microelectronics Corp Patterning method
JP2011233878A (en) * 2010-04-09 2011-11-17 Elpida Memory Inc Method for manufacturing semiconductor device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8513129B2 (en) 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
JP2012015343A (en) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp Plasma etching method
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR101692407B1 (en) * 2010-08-19 2017-01-04 삼성전자주식회사 Method of forming a line pattern structure
US8216939B2 (en) 2010-08-20 2012-07-10 Micron Technology, Inc. Methods of forming openings
CN103081074B (en) * 2010-08-27 2015-08-26 东京毅力科创株式会社 The manufacture method of substrate processing method using same, pattern formation method, semiconductor element and semiconductor element
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8691697B2 (en) * 2010-11-11 2014-04-08 International Business Machines Corporation Self-aligned devices and methods of manufacture
KR101867503B1 (en) * 2010-11-19 2018-06-15 에스케이하이닉스 주식회사 Method of forming fine pattern for semiconductor device
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101093241B1 (en) * 2010-12-15 2011-12-14 주식회사 하이닉스반도체 Method of manufacturing patterns for a semiconductor device
KR101682582B1 (en) * 2010-12-16 2016-12-13 삼성전자주식회사 Method of forming nano imprint lithography pattern
US8922020B2 (en) 2010-12-29 2014-12-30 Macronix International Co., Ltd. Integrated circuit pattern and method
CN102623423B (en) * 2011-01-26 2015-01-28 旺宏电子股份有限公司 Integrated circuit pattern and multiple patterning method
TWI506754B (en) * 2011-01-27 2015-11-01 Macronix Int Co Ltd Integrated circuit pattern and method
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
FR2973156B1 (en) 2011-03-24 2014-01-03 St Microelectronics Crolles 2 METHOD FOR DECOMPOSING LINES OF AN ELECTRONIC CIRCUIT
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8722320B2 (en) 2011-07-27 2014-05-13 Micron Technology, Inc. Lithography method and device
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
JP2013065772A (en) * 2011-09-20 2013-04-11 Toshiba Corp Semiconductor device manufacturing method
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
CN103030107B (en) * 2011-10-06 2014-12-10 清华大学 Method of manufacturing three-dimensional nanometer-structured array
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US20130115778A1 (en) * 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8629040B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
TWI473205B (en) * 2011-11-24 2015-02-11 Powerchip Technology Corp Method for forming contact hole
US9059001B2 (en) * 2011-12-16 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with biased feature
CN104011834B (en) * 2011-12-29 2016-08-24 英特尔公司 The pitch divisions photoetching process of interval body auxiliary
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
US8802510B2 (en) * 2012-02-22 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
CN103377985B (en) * 2012-04-17 2016-06-29 南亚科技股份有限公司 The manufacture method of contact hole
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8703406B2 (en) * 2012-07-12 2014-04-22 Transfer Devices Inc. Method of forming large-area masters for replication of transfer lithography templates
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US8969213B2 (en) 2012-07-30 2015-03-03 International Business Machines Corporation Non-lithographic line pattern formation
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014053436A (en) * 2012-09-06 2014-03-20 Toshiba Corp Semiconductor storage device manufacturing method
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8501607B1 (en) * 2012-11-07 2013-08-06 Globalfoundries Inc. FinFET alignment structures using a double trench flow
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8889561B2 (en) 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
JP5673900B2 (en) * 2012-12-28 2015-02-18 大日本印刷株式会社 Manufacturing method of nanoimprint mold
US9213239B2 (en) 2013-01-22 2015-12-15 Micron Technology, Inc. Methods of forming patterns for semiconductor device structures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8993218B2 (en) * 2013-02-20 2015-03-31 Taiwan Semiconductor Manufacturing Company Limited Photo resist (PR) profile control
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (en) * 2013-03-01 2015-06-01 Winbond Electronics Corp Patterning method and method of forming memory device
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8835323B1 (en) * 2013-03-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9583381B2 (en) 2013-06-14 2017-02-28 Micron Technology, Inc. Methods for forming semiconductor devices and semiconductor device structures
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150044875A1 (en) * 2013-08-09 2015-02-12 United Microelectronics Corp. Method of forming pattern
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6026375B2 (en) 2013-09-02 2016-11-16 株式会社東芝 Manufacturing method of semiconductor device
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103474336B (en) * 2013-09-22 2016-03-02 上海华力微电子有限公司 Make the method for high evenness grid lines
CN104576369A (en) * 2013-10-10 2015-04-29 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor apparatus
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
EP3901992A1 (en) 2013-12-23 2021-10-27 INTEL Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
CN105917445B (en) 2014-01-13 2020-05-22 应用材料公司 Self-aligned double patterning with spatial atomic layer deposition
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9761436B2 (en) * 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
TW201543564A (en) * 2014-05-09 2015-11-16 Powerchip Technology Corp Semiconductor fabrication method
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US9710592B2 (en) 2014-05-23 2017-07-18 International Business Machines Corporation Multiple-depth trench interconnect technology at advanced semiconductor nodes
KR20150136387A (en) 2014-05-27 2015-12-07 삼성전자주식회사 Method of manufacturing semiconductor device
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP5869057B2 (en) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション Semiconductor memory device
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9673050B2 (en) 2014-11-06 2017-06-06 Tokyo Electron Limited Method of patterning incorporating overlay error protection
KR20160084236A (en) 2015-01-05 2016-07-13 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9711369B2 (en) 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
US9601345B2 (en) 2015-03-27 2017-03-21 International Business Machines Corporation Fin trimming in a double sit process
US9530689B2 (en) * 2015-04-13 2016-12-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using multi-patterning processes
KR102338363B1 (en) * 2015-04-15 2021-12-09 삼성전자주식회사 Semiconductor device and method of fabricating the same
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
TWI653687B (en) * 2015-07-01 2019-03-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
US9673051B1 (en) * 2016-01-14 2017-06-06 Macronix International Co., Ltd. High density patterned material on integrated circuits
JP6839986B2 (en) * 2016-01-20 2021-03-10 株式会社半導体エネルギー研究所 Manufacturing method of semiconductor device
KR102564551B1 (en) 2016-01-26 2023-08-04 삼성전자주식회사 Method for manufacturing the semiconductor device
US9741563B2 (en) * 2016-01-27 2017-08-22 Lam Research Corporation Hybrid stair-step etch
KR102262750B1 (en) * 2016-03-28 2021-06-10 주식회사 히타치하이테크 Plasma treatment method and plasma treatment device
US10262941B2 (en) 2016-04-22 2019-04-16 Globalfoundries Inc. Devices and methods for forming cross coupled contacts
US9773700B1 (en) 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
WO2018125023A1 (en) * 2016-12-26 2018-07-05 Intel Corporation Methods for combining mask-based and maskless lithography
US10157776B2 (en) 2017-03-15 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US10707081B2 (en) * 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fine line patterning methods
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
KR102374206B1 (en) * 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
KR20200133236A (en) * 2018-03-14 2020-11-26 옵시디안 센서스 인코포레이티드 MEMS and NEMS structures
CN110828460B (en) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same
US10818508B2 (en) * 2018-10-17 2020-10-27 Nanya Technology Corporation Semiconductor structure and method for preparing the same
US11676817B2 (en) 2019-08-14 2023-06-13 Tokyo Electron Limited Method for pitch split patterning using sidewall image transfer
WO2021029976A1 (en) * 2019-08-14 2021-02-18 Tokyo Electron Limited Method for pitch split patterning using sidewall image transfer
US11502041B2 (en) * 2020-04-22 2022-11-15 Nanya Technology Corporation Method of forming a pattern
CN112038231A (en) * 2020-09-09 2020-12-04 长江存储科技有限责任公司 Method for manufacturing semiconductor device
KR20230117972A (en) * 2022-02-03 2023-08-10 삼성전자주식회사 Semiconductor devices and manufacturing methods for the same

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05343370A (en) * 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
WO2006026699A2 (en) * 2004-09-02 2006-03-09 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE280851C (en)
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (en) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart METHOD FOR PRODUCING A THIN DIELECTRIC INSULATION IN A SILICON SEMICONDUCTOR BODY
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (en) 1990-10-31 1992-05-07 Huels Chemische Werke Ag METHOD FOR PRODUCING ORGANOSILANES CONTAINING METHACRYLOXY OR ACRYLOXY GROUPS
IT1243919B (en) 1990-11-20 1994-06-28 Cons Ric Microelettronica PROCEDURE FOR OBTAINING PLANARIZED SUBMICHROMETRIC GROOVES IN INTEGRATED CIRCUITS REALIZED WITH ULSI TECHNOLOGY
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (en) 1992-10-29 1994-05-05 Siemens Ag Method for forming a structure in the surface of a substrate - with an auxiliary structure laterally bounding an initial masking structure, followed by selective removal of masking structure using the auxiliary structure as an etching mask
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JPH0855920A (en) 1994-08-15 1996-02-27 Toshiba Corp Manufacture of semiconductor device
JPH0855908A (en) 1994-08-17 1996-02-27 Toshiba Corp Semiconductor device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (en) 1995-06-30 1999-06-01 김영환 Method of forming mosfet
JP3393286B2 (en) 1995-09-08 2003-04-07 ソニー株式会社 Pattern formation method
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (en) 1996-08-21 2001-05-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
KR100231134B1 (en) 1997-06-14 1999-11-15 문정환 Method for forming metal interconnector of semiconductor device
KR19990027887A (en) * 1997-09-30 1999-04-15 윤종용 Fine Pattern Forming Method of Semiconductor Device Using Spacer
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (en) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2001077196A (en) 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (en) 1999-12-14 2001-11-05 윤종용 Method for manufacturing electrode of capacitor
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (en) 2000-05-29 2006-08-02 富士通株式会社 Semiconductor device and manufacturing method thereof
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (en) 2000-09-20 2002-05-21 Obducat Ab Wet etching of substrate involves arranging on the substrate a passivating substance comprising active substance reacting with component contained in etchant to form etch protecting compound
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (en) * 2001-08-31 2003-04-03 Infineon Technologies Ag Production of resist structures used in semiconductor industry comprises applying a resist film on a substrate, forming a resist structure with bars from the film, and removing reinforced sections
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (en) 2001-10-24 2003-05-09 Hitachi Ltd Semiconductor device and manufacturing method thereof
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (en) 2002-02-20 2007-12-20 Infineon Technologies Ag Process for forming a hardmask in a layer on a flat disk
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (en) 2002-06-14 2005-03-17 삼성전자주식회사 Method Of Forming Fine Pattern Of Semiconductor Device
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (en) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2005026349A (en) * 2003-06-30 2005-01-27 Tdk Corp Method for manufacturing electrochemical capacitor and electrode therefor
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (en) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Method for self-adjusting reduction of structures
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
KR100536801B1 (en) 2003-10-01 2005-12-14 동부아남반도체 주식회사 Semiconductor device and fabrication method thereof
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (en) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7244663B2 (en) * 2004-08-31 2007-07-17 Micron Technology, Inc. Wafer reinforcement structure and methods of fabrication
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (en) 2004-10-11 2006-08-22 삼성전자주식회사 Apparatus And Method For Pattern Exposure, Photomask Used Therefor, Design Method For The Photomask, Illuminating System Therefor and Implementing Method For The Illuminating System
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (en) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 Capacitor of semiconductor device and method for forming the same
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (en) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 Composition for Hard Mask and Method for Forming Pattern of Semiconductor Device using it
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Semiconductor device having fine contact and method of manufacturing the same
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH05343370A (en) * 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
WO2006026699A2 (en) * 2004-09-02 2006-03-09 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 018, no. 171 (E - 1529) 23 March 1994 (1994-03-23) *

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006024735A1 (en) * 2006-03-15 2007-10-18 Infineon Technologies Ag Hard mask layer stack and method of patterning a layer using the hard mask layer stack
US7662721B2 (en) 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7811940B2 (en) 2006-03-23 2010-10-12 Micron Technology, Inc. Topography directed patterning
JP2010527138A (en) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. Simplified pitch doubling process
DE102006019413A1 (en) * 2006-04-26 2008-01-31 Qimonda Ag Semiconductor device structure formation method involves removing sacrificial material which is arranged in horizontal and vertical portions for structuring hardmask
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP2008072097A (en) * 2006-09-12 2008-03-27 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device
JP2008091925A (en) * 2006-10-02 2008-04-17 Samsung Electronics Co Ltd Method of forming pad patterns using self-align double patterning method, pad pattern layout formed using the same, and method of forming contact holes using self-align double patterning method
JP2008091927A (en) * 2006-10-02 2008-04-17 Samsung Electronics Co Ltd Semiconductor device with conductive line of very narrow line width and method of manufacturing the same
JP2008103718A (en) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd Method for forming fine pattern of semiconductor element
JP2008124444A (en) * 2006-11-10 2008-05-29 Samsung Electronics Co Ltd Method for forming fine pattern of semiconductor device
JP2008166693A (en) * 2006-12-28 2008-07-17 Hynix Semiconductor Inc Pattern formation method of semiconductor element
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
WO2008091343A1 (en) * 2007-01-22 2008-07-31 Atdf, Inc. Methods for fabricating semiconductor structures
JP2008210930A (en) * 2007-02-26 2008-09-11 Elpida Memory Inc Manufacturing method of semiconductor device
JP2008218516A (en) * 2007-02-28 2008-09-18 Toshiba Corp Pattern evaluation method, evaluation mark, and method for manufacturing the same
JP2010520639A (en) * 2007-03-05 2010-06-10 マイクロン テクノロジー, インク. Semiconductor structure, method for forming a plurality of lines, and method for forming a high density structure and a low density structure with a single photomask
KR100838380B1 (en) * 2007-03-26 2008-06-13 주식회사 하이닉스반도체 Method for forming trench in semiconductor device
KR101368544B1 (en) * 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. Simplified pitch doubling process flow
JP2008300833A (en) * 2007-05-29 2008-12-11 Qimonda Ag Method of manufacturing structure on substrate or in substrate, imaging layer for generating sublithographic structure, method of inverting sublithographic pattern, and device obtainable by manufacturing structure
JP2009004769A (en) * 2007-06-01 2009-01-08 Applied Materials Inc Frequency doubling using spacer mask
JP2009027146A (en) * 2007-06-01 2009-02-05 Applied Materials Inc Frequency tripling using spacer mask having interposed regions
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2010531051A (en) * 2007-06-04 2010-09-16 マイクロン テクノロジー, インク. Pitch multiplication using self-organizing materials
JP2010530139A (en) * 2007-06-15 2010-09-02 アプライド マテリアルズ インコーポレイテッド Low temperature SACVD process for pattern loading applications
JP2010534924A (en) * 2007-06-15 2010-11-11 アプライド マテリアルズ インコーポレイテッド Oxygen SACVD to form a sacrificial oxide liner in the substrate gap
JP2009010317A (en) * 2007-06-26 2009-01-15 Hynix Semiconductor Inc Semiconductor device fine patterning method
US8513135B2 (en) 2007-07-12 2013-08-20 Micron Technology, Inc. Methods of modifying oxide spacers
US8021933B2 (en) 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
JP2009076902A (en) * 2007-09-18 2009-04-09 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device
TWI493598B (en) * 2007-10-26 2015-07-21 Applied Materials Inc Frequency doubling using a photo-resist template mask
JP2009124134A (en) * 2007-10-26 2009-06-04 Applied Materials Inc Frequency doubling method using photoresist template mask
EP2206142A1 (en) * 2007-11-01 2010-07-14 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
WO2009058744A1 (en) * 2007-11-01 2009-05-07 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
EP2206142A4 (en) * 2007-11-01 2014-08-13 Micron Technology Inc Spacer process for on pitch contacts and related structures
US9666695B2 (en) 2007-12-18 2017-05-30 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US10497611B2 (en) 2007-12-18 2019-12-03 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US9941155B2 (en) 2007-12-18 2018-04-10 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
JP2015122516A (en) * 2007-12-18 2015-07-02 マイクロン テクノロジー, インク. Method for separating portion of loop of pitch-multiplied material and relevant structure thereof
JP2009164581A (en) * 2007-12-28 2009-07-23 Hynix Semiconductor Inc Method for manufacturing semiconductor device
JP2009170863A (en) * 2008-01-14 2009-07-30 Hynix Semiconductor Inc Method of forming pattern of semiconductor device
JP2009246332A (en) * 2008-03-28 2009-10-22 Samsung Electronics Co Ltd Method of forming fine pattern of semiconductor device
WO2009150870A1 (en) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 Semiconductor device manufacturing method
JP5484325B2 (en) * 2008-06-13 2014-05-07 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US8846537B2 (en) 2008-06-17 2014-09-30 Micron Technology, Inc. Method for forming fine pitch structures
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
JP2011215371A (en) * 2010-03-31 2011-10-27 Toshiba Corp Method of producing mask
US8828868B2 (en) 2011-05-20 2014-09-09 Semiconductor Manufacturing International (Beijing) Corporation Method for forming hard mask in semiconductor device fabrication

Also Published As

Publication number Publication date
US20120256309A1 (en) 2012-10-11
JP2008536297A (en) 2008-09-04
US7253118B2 (en) 2007-08-07
JP4945740B2 (en) 2012-06-06
US8119535B2 (en) 2012-02-21
US8598632B2 (en) 2013-12-03
US7651951B2 (en) 2010-01-26
TW200643609A (en) 2006-12-16
US20100092891A1 (en) 2010-04-15
EP1861864A1 (en) 2007-12-05
US8048812B2 (en) 2011-11-01
EP1861864B1 (en) 2009-12-23
US20100210111A1 (en) 2010-08-19
TWI302635B (en) 2008-11-01
KR100921588B1 (en) 2009-10-13
US20070128856A1 (en) 2007-06-07
WO2006101695B1 (en) 2006-11-23
US8207576B2 (en) 2012-06-26
US7718540B2 (en) 2010-05-18
DE602006011289D1 (en) 2010-02-04
US20070161251A1 (en) 2007-07-12
US20070138526A1 (en) 2007-06-21
US20060211260A1 (en) 2006-09-21
KR20070116108A (en) 2007-12-06

Similar Documents

Publication Publication Date Title
US7651951B2 (en) Pitch reduced patterns relative to photolithography features
US20220262626A1 (en) Methods of forming electronic devices using pitch reduction
US7687408B2 (en) Method for integrated circuit fabrication using pitch multiplication
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US9117766B2 (en) Method for positioning spacers in pitch multiplication

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680013194.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008501908

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006736975

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: RU

WWE Wipo information: entry into national phase

Ref document number: 1020077023665

Country of ref document: KR