WO2006110645A2 - Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices - Google Patents

Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices Download PDF

Info

Publication number
WO2006110645A2
WO2006110645A2 PCT/US2006/013306 US2006013306W WO2006110645A2 WO 2006110645 A2 WO2006110645 A2 WO 2006110645A2 US 2006013306 W US2006013306 W US 2006013306W WO 2006110645 A2 WO2006110645 A2 WO 2006110645A2
Authority
WO
WIPO (PCT)
Prior art keywords
liquid removal
ether
residue
removal composition
glycol
Prior art date
Application number
PCT/US2006/013306
Other languages
French (fr)
Other versions
WO2006110645A3 (en
Inventor
David W. Minsek
David D. Bernhard
Thomas H. Baum
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2006110645A2 publication Critical patent/WO2006110645A2/en
Publication of WO2006110645A3 publication Critical patent/WO2006110645A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • C11D2111/22

Definitions

  • the present invention relates to liquid compositions for the removal of post-ash residue, post-etch residue and bottom anti-reflective coating (BARC) residue from microelectronic devices, wherein the liquid compositions have a high selectivity for the residue materials without damaging low-k dielectric material or corroding metallic interconnect materials on the microelectronic device.
  • BARC bottom anti-reflective coating
  • Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material.
  • silicate glass vapor- deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
  • TEOS and fluorinated silicate glass have been replaced by so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • Photolithography is used to image a pattern onto a photoresist.
  • Photolithography techniques comprise the steps of coating, exposure, and development.
  • a wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes.
  • the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light ( «250 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution.
  • UV ultraviolet
  • DUV deep UV
  • BARCs bottom anti-reflective coatings
  • gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to an underlying layers, which may consist of hardmask, interlevel dielectric and etch stop layers.
  • the reactive plasma gases react with the developed photoresist, resulting in the formation of a hardened, crosslinked polymeric material, or "crust," on the surface of the photoresist.
  • the reactive plasma gases also react with the sidewalls of the BARC and the features etched into the dielectric. Further, plasma etch residues are typically deposited on the BEOL structures.
  • Ion implant-exposed photoresist is also highly cross- linked similar to plasma etched photoresist.
  • the hardened photoresist, bulk photoresist, BARC materials and/or residues thereof must be cleanly removed from the microelectronic device in order to obtain defect-free structures. If not removed, the photoresist, BARC and residues may interfere with subsequent silicidation or contact formation. Typically, the photoresist and crust is removed by oxidative or reductive plasma ashing or wet cleaning. [0009] Ashing is preferred for photoresist removal because the process is carried out under vacuum conditions and as such, is less susceptible to contamination. Ashing is also typically more effective than wet stripping for complete removal of the highly chemically resistant crust.
  • the present invention generally relates to a liquid removal composition and process for the selective removal of post-ash residue, post-etch residue and/or BARC residue from microelectronic devices having said residue thereon.
  • the liquid removal composition includes a fluoride source and a solvent or mixture of solvents having both polar and non- polar functionality.
  • One aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source and at least one amphiphilic solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • a liquid removal composition comprising at least one fluoride source, at least one amphiphilic solvent, and at least one polar solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • a liquid removal composition comprising at least one fluoride source, at least one amphiphilic solvent, at least one polar solvent, and at least one acid-base buffer component, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • Still another aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source, at least one amphiphilic solvent, and residue material, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, and wherein said residue material comprises residue selected from the group consisting of post- etch residue, post-ash residue, BARC residue, and combinations thereof.
  • the invention in another aspect, relates to a kit comprising, in one or more containers, liquid removal composition reagents, wherein the liquid removal composition comprises at least one fluoride source and at least one amphiphilic solvent, and wherein the kit is adapted to form a liquid removal composition suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • the invention relates to a method of removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time and under sufficient conditions to at least partially remove said residue from the microelectronic device, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, wherein the liquid removal composition includes a fluoride source and at least one amphiphilic solvent.
  • Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising at least partial removal of post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
  • the present invention relates to liquid compositions that remove post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having such material(s) thereon, said compositions having high selectivity for the residue material thereby minimizing damage to underlying low-k dielectric and metallic interconnect materials, e.g., copper and cobalt.
  • Photoresist refers to undeveloped, developed or hardened photoresist.
  • Hardened photoresist as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during BEOL dual-damascene processing of integrated circuits, and/or ion implanted, e.g., during FEOL processing to implant dopant species in the appropriate layers of the microelectronic device.
  • Post-etch residue corresponds to material remaining following gas- phase plasma etching processes, e.g., BEOL dual damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue including, but not limited to, chlorine and fluorine.
  • post-ash residue corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or BARC materials.
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • “about” is intended to correspond to ⁇ 5 % of the stated value.
  • suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon corresponds to at least partial removal of said residue from the microelectronic device.
  • at least about 90 % of the residue, more preferably at least 95% of the residue, and most preferably at least 99% of the residue is removed from the microelectronic device using the compositions of the invention.
  • hydrofluoric acid and hydrofluoric acid salts etch silicate glasses.
  • the etch rate is influenced by the concentration of fluoride as well as the pH of the solution. It has been shown that the nature of the solvent may have a substantial effect on the etch rates of different materials in fluoride solutions, which may relate to the aforementioned "hydrophobic effect" (Minsek et al., “Selective Etching of Gap-fill Materials Used for Dual-Damascene Processing"; presented at the Sematech Wafer Cleaning and Surface Prep. Workshop, Austin, TX, May 6-7, 2004).
  • the contact angle ( ⁇ ) of water on a solid film is a good indication of solid surface hydrophobicity, whereby a higher angle indicates a more hydrophobic surface.
  • High hydrophobicity (i.e., low hydrophilicity) of the surface corresponds to a less polar (i.e., more non-polar) surface and a lower surface energy, and vice versa.
  • Table 1 includes energy properties, including contact angle and surface energy, of select materials typically used as dielectric insulators in integrated microelectronic devices.
  • Table 1 Water contact angle and surface energy for several dielectric insulators.
  • ionic salts have higher solubility in polar solvents than non- polar solvents because of charge stabilization by higher dielectric media. Therefore, it is expected that hydrofluoric acid salts will be more soluble in the polar interfacial region of a hydrophilic solid than in the less polar region of a hydrophobic solid when both solids are present in a liquid composed of solvent(s) having aniphiphilic properties.
  • the non-polar solvent groups partition to form an interfacial non-polar layer around the hydrophobic solid, said layer acting as a passivating layer since it reduces the contact of the reactive ions with the solid.
  • low-k dielectric materials which are hydrophobic in nature, e.g., CDO glasses, are less susceptible to damage by a liquid removal composition including etchant ions in a solvent having amphiphilic character.
  • the post-ash/post-etch residue i.e., hydrophilic solid, is readily dissolvable in the same liquid removal composition.
  • the liquid removal compositions of the present invention comprising a fluoride ion source and solvent having amphiphilic character, efficiently remove post-etch and post-ash residue from microelectronic devices without damaging the underlying low-k dielectric and metal interconnects materials.
  • the etch rates of low-k dielectric and metal interconnect material in the presence of the liquid removal composition of the invention are less than about 5 A min "1 , more preferably less than about 3 A min "1 , and most preferably less than about 1 A min "1 .
  • the liquid removal compositions may be used to remove photoresist, post-CMP residues, and/or BARC layers from the surface of a microelectronic device.
  • the liquid removal compositions of the present invention may be used to remove contaminating materials from photomask materials for reuse thereof.
  • post-CMP residue corresponds to particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
  • liquid removal compositions of the present invention must possess good metal compatibility, e.g., a low etch rate on the metal.
  • Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum and ruthenium.
  • Compositions of the invention may be embodied in a wide variety of specific fo ⁇ nulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., both polar and non-polar in character (hereinafter "solvent B").
  • solvent B both polar and non-polar in character
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and a solvent mixture, wherein the solvent mixture includes at least one polar solvent (hereinafter "solvent A”) and at least one amphiphilic solvent, i.e., solvent B.
  • solvent A polar solvent
  • solvent B amphiphilic solvent
  • the liquid compositions of the invention effectively remove essentially all residue from the surface of the microelectronic device without causing damage to the dielectric material and without causing corrosion of the underlying metal.
  • the "liquid" removal composition corresponds to a composition whereby neither the temperature nor the pressure of the composition is greater than the critical temperature or critical pressure, respectively, of any of the components included therein.
  • the composition according to one embodiment comprises at least one fluoride source, at least one polar solvent (solvent A), and at least one amphiphilic solvent (solvent B), present in the following ranges, based on the total weight of the composition. component % by weight fluoride source(s) about 0.01 to about 10.0 % solvent A about 10.0 to about 80.0% solvent B about 10.0 to about 80.0%
  • the range of mole ratios for solvent A relative to fluoride source(s) is about 1:1 to about 250:1, preferably about 15:1 to about 150:1, the range of mole ratios for solvent A relative to solvent B is about 0.1:1 to about 15:1, preferably about 2:1 to about 10:1.
  • the liquid removal composition may comprise, consist of, or consist essentially of fluoride source, solvent A, and solvent B.
  • the pH range of the liquid removal composition is from about 3 to about 8.
  • Fluoride source can be hydrofluoric acid or hydrofluoric acid salts, wherein the fluoride counterion is a metal-ion free cation, for example ammonium, primary, secondary, or tertiary allcylammoniums, or tetraalkylammonium, phosphonium or the like.
  • the fluoride source may be a bifluoride species, including ammonium bifluoride, tetraalkylammonium bifluorides ((R) 4 NHF 2 ) and alkyl phosphonium difluorides ((R) 4 PHF 2 ).
  • Preferred fluoride sources include, but are not limited to, triethanolamine hydrofluoride (TEA-HF), and ammonium fluoride.
  • Solvent A i.e., the polar solvent, preferably has (i) a high static dielectric constant (e.g., dielectric constant greater than or equal to 30) and (ii) a high surface tension (e.g., surface tension greater than or equal to 40 mJ cm '2 ).
  • Solvent A may be either protic, aprotic, or a combination thereof, but preferably would include at least one protic solvent providing strong hydrogen bonding.
  • Suitable protic solvents include, but are not limited to, water, alkanediols (e.g., ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3 -propanediol, etc.), polyglycols (e.g., diethyleneglycol, dipropyleneglycol or higher polyglycols having the general formula H(OCH 2 CH 2 ) n OH, wherein n >1), alkanetriols (e.g., glycerol), formamide, acetamide, higher amides, and combinations thereof.
  • alkanediols e.g., ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3 -propanediol, etc.
  • polyglycols e.g., diethyleneglycol, dipropyleneglycol or higher polyglycols having the general formula H(OCH 2 CH
  • Suitable aprotic solvents include, but are not limited to, N-metliylpyrrolidone, N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide, ⁇ -butyrolactone, propylene carbonate, and combinations thereof having dielectric and surface energy properties as described above. It is preferred that solvent A presents minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
  • the liquid removal composition of the present invention includes less than 10 wt. % water, more preferably less than 5 wt. % water, and most preferably less than 2 wt. % water.
  • the amount of solvent B plus the organic component(s) of solvent A in the liquid removal compositions is preferably greater than 80 wt. %, more preferably greater than 85 wt. % and most preferably greater than 88 wt. %.
  • Representative solvent A solvents are listed in Table 2.
  • N,N-dimethylacetamide 40 38 propylene carbonate 41 65 ⁇ -butyrolactone 40 39 sulfolane 53 43 dimethylsulfoxide 51 47
  • Solvent B i.e., the amphiphilic solvent, preferably has (i) a low static dielectric constant (e.g., dielectric constant less than or equal to 20) and (ii) a low surface tension (e.g., surface tension less than or equal to 40 mJ cm "2 ).
  • solvent B should have amphiphilic properties, i.e., contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups covalently bonded to a hydrophilic group. It is preferred that solvent B present minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
  • Solvent B may be a polyglycol ether represented by the formula HO(CH 2 CHR 1 O) n R 2 , wherein R 1 is hydrogen or a methyl group, R 2 is a straight-chained, branched or cyclic C 2 - Ce alkyl group or an aryl group, and n >1.
  • Examples include, but are not limited to, diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, and combinations thereof.
  • solvent B may be a polyglycol ether represented by the formula HO(CHR 1 CH 2 O) n R 2 , wherein R 1 , R 2 and n are as introduced above.
  • examples include, but are not limited to, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n- ⁇ ropyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
  • DPGPE dipropylene glycol n-propyl ether
  • solvent B may also include combinations of the polyglycol ether(s) represented by the formula HO(CH 2 CHR 1 O) n R 2 and the polyglycol ether(s) represented by the fo ⁇ nula HO(CHR 1 CH 2 O) n R 2 .
  • aryl is intended to be broadly construed as referring to carbocyclic (e.g., phenyl, naphthyl) as well as heterocyclic aromatic groups (e.g., pyridyl, thienyl, ruranyl, etc.) and encompassing unsubstituted as well as substituted aryl groups, wherein the substituents of substituted aryl groups may include any sterically acceptable substituents which are compatible with such aryl groups and which do not preclude the efficacy of the co-solvent compound for its intended utility.
  • carbocyclic e.g., phenyl, naphthyl
  • heterocyclic aromatic groups e.g., pyridyl, thienyl, ruranyl, etc.
  • substituted aryl groups may include any sterically acceptable substituents which are compatible with such aryl groups and which do not preclude the efficacy of the co-solvent compound for its intended utility.
  • substituents for substituted aryl groups include one or more of halogen (e.g., fluoro, chloro, bromo, and iodo), amino, amido, Ci -C 4 alkyl, Ci -C 4 alkoxy, nitro, trifluoromethyl, hydroxy, hydroxyalkyl containing a Ci -C 4 alkyl moiety, etc.
  • halogen e.g., fluoro, chloro, bromo, and iodo
  • Representative solvent B solvents are listed in Table 3.
  • the solvent mixture including solvent A and solvent B acts as a surfactant type additive due to its dual hydrophobic/hydrophilic nature, thus avoiding the use of a conventional surfactant which may cause foaming problems and/or absorb onto surfaces.
  • the liquid removal composition includes less than 2 wt. % lactam solvent, more preferably less than 1 wt. % lactam solvent, even more preferably less than 0.1 wt. % lactam solvent, and most preferably is devoid of lactam solvent, wherein the lactam solvent includes piperidones and cyclohexyl analogues of piperidone.
  • the liquid removal composition may further include at least one acid-base buffer component to control and stabilize the pH since the etch rate of materials in fluoride solutions are well known to be highly pH-dependent.
  • Preferred buffers include salts of carboxylic acids (e.g., lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, other aliphatic and aromatic carboxylic acids, as well as combinations of the foregoing acids), primary, secondary or tertiary amines (e.g., N,N-dimethyldiglycolamine, monoethanolamine, triethanolamine, triethylenediamine, methylethanolamine, methyldiethanolarnine, pentamethyldiethylenetriamine, 1 ,8 ⁇ diazabicyclo[5.4.0]undecene, aminopropylmorpholine, hydroxyethylmorpho
  • the pH of the liquid removal composition is preferably in a range from about 3 to about 8.
  • the range of mole ratios for solvent A relative to buffer is about 1 : 1 to about 125: 1 , preferably about 5: 1 to about 60: 1.
  • the liquid removal compositions of the invention include less than about 2 wt. % of salts of boric acid, more preferably less than 1 wt. %, even more preferably less than 0.1 wt. %, and most preferably are devoid of salts of boric acid.
  • the liquid removal composition may further include chelator(s), passivator(s), and/or corrosion inhibitor(s) to improve metal compatibility, and/or surfactant(s) to improve the amphiphilic properties of the solution.
  • chelator(s), passivator(s), and/or corrosion inhibitor(s) to improve metal compatibility
  • surfactant(s) to improve the amphiphilic properties of the solution.
  • about 0.01 to about 5.0 wt. % surfactant may be present.
  • the liquid removal composition of the present invention includes at least one fluoride source, solvent A, solvent B and an acid-base buffer.
  • the liquid removal composition includes at least one fluoride source, solvent B, and residue material, wherein the residue material includes post-etch residue, post- ash residue and/or BARC residue material.
  • the liquid removal composition includes at least one fluoride source, solvent A, solvent B, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material.
  • the liquid removal composition includes at least one fluoride source, solvent A, solvent B, an acid-base buffer, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material.
  • the residue material may be dissolved and/or suspended in the liquid removal composition of the invention.
  • the liquid removal composition includes propylene glycol, dipropylene glycol n-propyl ether, lactic acid, triethanolamine hydrofluoride and water.
  • the liquid removal composition includes diethylene glycol monomethyl ether, triethanolamine hydrofluoride, diglycolamine, citric acid, and water.
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., solvent B, as described hereinabove.
  • the composition may include about 0.01 to about 10 wt. % fluoride source and about 90 to about 99.99 wt. % amphiphilic solvent.
  • the range of mole ratios for solvent B relative to fluoride source(s) is about 1:1 to about 100:1, preferably about 10:1 to about 50:1.
  • the liquid removal composition may include fluoride source, at least one amphiphilic solvent, and at least one acid-base buffer.
  • the liquid removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the liquid removal compositions may be readily fo ⁇ nulated as single-package fo ⁇ nulations or multi-part formulations that are mixed at the point of use. The individual parts of the multipart formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the liquid removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. In one particularly preferred embodiment, the liquid removal compositions of the present invention may be packaged and shipped as concentrates that may be diluted at the fab prior to use.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, a fluoride source, at least one amphiphilic solvent, and optionally at least one polar solvent for combining at the fab.
  • the kit includes a fluoride source, for combining with the amphiphilic solvent(s) and the optional polar solvent(s) at the fab.
  • the kit includes, in one or more containers, a fluoride source and at least one amphiphilic solvent, for combining with the optional polar solvent(s) at the fab.
  • the kit may include at least one acid-base buffer.
  • the containers of the kit must be suitable for storing and shipping said liquid removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the liquid removal composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the liquid removal composition on the surface of the device to be cleaned, by dipping (in a volume of the liquid removal composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the liquid removal composition, or by any other suitable means, manner or technique by which the liquid removal composition is brought into removal contact with the device to be cleaned.
  • another material e.g., a pad, or fibrous sorbent applicator element
  • liquid removal compositions of the present invention are usefully employed to remove post-etch residue, post-ash residue and/or BARC residue from resist-coated semiconductor substrates, flat panel displays, MEMS and other microelectronic device structures on which such residue is present.
  • the compositions of the present invention by virtue of their selectivity for such residue relative to low-k dielectric materials that may be present on the microelectronic device, achieve removal of the residue in a highly efficient manner.
  • the liquid removal composition typically is contacted with the device for a time of from about 1 minute to about 10 minutes, at temperature in a range of from about 2O 0 C to about 8O 0 C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the residue material from the device, within the broad practice of the invention.
  • "At least partial removal" of the residue material from the microelectronic device corresponds to at removal of at least 80% of the residue, preferably at least 95% removal. Most preferably, at least 99% of said residue material is removed using the compositions of the present invention.
  • the liquid removal composition which is preferably water miscible, is readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the rinse solution includes water.
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post- etch or post-ash residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent.
  • the etch rate of TEOS is essentially proportional to the concentration of lactic acid (and the increase in water in the composition).
  • the etch rates of porous CDO and PVD copper are both less than 1 A min " ', and as such, the composition concentrations may be readily varied to correspond to the cleaning requirements.
  • Example 3 Wafer cleaning was performed on samples of patterned semiconductor substrate • consisting of layers of low-k dielectric (specifically CDO) and silicon nitride.
  • Plasma etching had been previously performed to transfer a pattern of lines, spaces, and holes of varying dimensions (from about 100 nanometers to greater than 10 microns) from a pattern formed in a top coating of photoresist to the underlying materials.
  • Oxidative plasma ash had been performed to remove the bulk of the photoresist.
  • the pattern consisted of spaces etched into the substrate, stopping at the silicon nitride etch-stop layer.
  • a section of the substrate was cleaned by immersion for a fixed time at a fixed temperature in a static bath containing a liquid removal composition of the present invention.

Abstract

A liquid removal composition and process for removing post-ash residue, post-etch residue and/or bottom anti-reflective coating (BARC) residue from a microelectronic device having said residue thereon. The liquid removal composition includes a fluoride source and an amphiphilic solvent. The composition achieves high-efficiency removal of the residue material from the microelectronic device without damaging metal species or low-k dielectric materials employed in the microelectronic device architecture.

Description

FLUORTOE LIQUTO CLEANERS WITH POLAR AND NON-POLAR SOLVENT MIXTURES FOR CLEANING LOW-K-CONTAINING MICROELECTRONIC
DEVICES
FIELD OF THE INVENTION
[0001] The present invention relates to liquid compositions for the removal of post-ash residue, post-etch residue and bottom anti-reflective coating (BARC) residue from microelectronic devices, wherein the liquid compositions have a high selectivity for the residue materials without damaging low-k dielectric material or corroding metallic interconnect materials on the microelectronic device.
DESCRIPTION OF THE RELATED ART
[0002] Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material. In the past, silicate glass vapor- deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
[0003] Demand for higher processing speeds has led to smaller sizing of circuit elements, along with the replacement of TEOS and aluminum alloys by higher performance materials. Aluminum alloys have been replaced by copper or copper alloys due to the higher conductivity of copper. TEOS and fluorinated silicate glass (FSG) have been replaced by so- called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass. The incorporation of porosity, i.e., air-filled pores, in these materials further lowers the dielectric constant of the dielectric material.
[0004] During dual-damascene processing of integrated circuits, photolithography is used to image a pattern onto a photoresist. Photolithography techniques comprise the steps of coating, exposure, and development. A wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes. Following the proper positioning of the mask, the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light («250 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution. The soluble photoresist material is then removed, or "developed," thereby leaving behind a pattern identical to the mask.
[0005] In order to address transmissivity and reflectivity problems associated with the use of DUV light, which triggers an uneven exposure of the photoresist causing variations in linewidths, spacing and other critical dimensions, bottom anti-reflective coatings (BARCs), both inorganic and organic in nature, have been developed which are applied to substrates prior to applying the photoresist. As the photoresist is exposed to DUV radiation, the BARC absorbs a substantial amount of the DUV radiation thereby preventing radiation reflection and transmissivity, and hence uneven exposure.
[0006] During back-end-of-line (BEOL) dual-damascene processing of integrated circuits, gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to an underlying layers, which may consist of hardmask, interlevel dielectric and etch stop layers. During pattern transfer, the reactive plasma gases react with the developed photoresist, resulting in the formation of a hardened, crosslinked polymeric material, or "crust," on the surface of the photoresist. The reactive plasma gases also react with the sidewalls of the BARC and the features etched into the dielectric. Further, plasma etch residues are typically deposited on the BEOL structures.
[0007] During front-end-of-line (FEOL) processing, ion implantation is used to add dopant atoms to the exposed wafer layers. Ion implant-exposed photoresist is also highly cross- linked similar to plasma etched photoresist.
[0008] After the pattern transfer, the hardened photoresist, bulk photoresist, BARC materials and/or residues thereof must be cleanly removed from the microelectronic device in order to obtain defect-free structures. If not removed, the photoresist, BARC and residues may interfere with subsequent silicidation or contact formation. Typically, the photoresist and crust is removed by oxidative or reductive plasma ashing or wet cleaning. [0009] Ashing is preferred for photoresist removal because the process is carried out under vacuum conditions and as such, is less susceptible to contamination. Ashing is also typically more effective than wet stripping for complete removal of the highly chemically resistant crust. However, post-ash residues may be formed which may lead to device failure, so it is often necessary to perform a post-ash cleaning step using liquid cleaners. [0010] The integration of new materials, such as low-k dielectrics, into microelectronic devices places new demands on cleaning performance. At the same time, shrinking device dimensions reduce the tolerance for changes in critical dimensions and damage to device elements. Etching and ashing conditions can be modified in order to meet the demands of the new materials. Likewise, post-etch/post-ash liquid cleaning compositions must be modified. Importantly, the liquid cleaner should not damage the underlying dielectric material or corrode metallic interconnect materials, e.g., copper and/or cobalt, on the device. [0011] Towards that end, it is an object of the present invention to provide improved liquid compositions for the selective and effective removal of post-etch residue, post-ash residue and/or BARC residue from the surface of microelectronic devices, especially from low-k dielectric-containing devices.
SUMMARY OF THE INVENTION
[0012] The present invention generally relates to a liquid removal composition and process for the selective removal of post-ash residue, post-etch residue and/or BARC residue from microelectronic devices having said residue thereon. The liquid removal composition includes a fluoride source and a solvent or mixture of solvents having both polar and non- polar functionality.
[0013] One aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source and at least one amphiphilic solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
[0014] Another aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source, at least one amphiphilic solvent, and at least one polar solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon. [0015] Yet another aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source, at least one amphiphilic solvent, at least one polar solvent, and at least one acid-base buffer component, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
[0016] Still another aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source, at least one amphiphilic solvent, and residue material, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, and wherein said residue material comprises residue selected from the group consisting of post- etch residue, post-ash residue, BARC residue, and combinations thereof. [0017] In another aspect, the invention relates to a kit comprising, in one or more containers, liquid removal composition reagents, wherein the liquid removal composition comprises at least one fluoride source and at least one amphiphilic solvent, and wherein the kit is adapted to form a liquid removal composition suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon. [0018] In yet another aspect, the invention relates to a method of removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time and under sufficient conditions to at least partially remove said residue from the microelectronic device, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent. [0019] In a further aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, wherein the liquid removal composition includes a fluoride source and at least one amphiphilic solvent.
[0020] Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising at least partial removal of post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product. [0021] Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION OF THE INVENTION. AND PREFERRED EMBODIMENTS THEREOF
[0022] The present invention relates to liquid compositions that remove post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having such material(s) thereon, said compositions having high selectivity for the residue material thereby minimizing damage to underlying low-k dielectric and metallic interconnect materials, e.g., copper and cobalt.
[0023] "Photoresist," as used herein, refers to undeveloped, developed or hardened photoresist. "Hardened photoresist" as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during BEOL dual-damascene processing of integrated circuits, and/or ion implanted, e.g., during FEOL processing to implant dopant species in the appropriate layers of the microelectronic device.
[0024] "Post-etch residue," as used herein, corresponds to material remaining following gas- phase plasma etching processes, e.g., BEOL dual damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue including, but not limited to, chlorine and fluorine.
[0025] "Post-ash residue," as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or BARC materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature. [0026] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0027] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities. [0028] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0029] As used herein, "suitability" for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon corresponds to at least partial removal of said residue from the microelectronic device. Preferably, at least about 90 % of the residue, more preferably at least 95% of the residue, and most preferably at least 99% of the residue, is removed from the microelectronic device using the compositions of the invention.
[0030] It has been demonstrated that when hydrophobic solid surfaces are immersed in a protic solvent having both polar and non-polar groups, i.e., amphiphilic, the liquid-solid interfacial region is less polar in nature than the bulk solvent (See, Zhang et al., J. Am. Chetn. Soc, 123, 10768-9 (2001)). On the other hand when a hydrophilic solid surface is immersed in the same solvent system, the interfacial region is more polar in nature than the bulk solvent. This phenomenon may be explained by the adoption of orientations by the solvent and solute molecules at the solid-liquid interface to minimize surface energy, often referred to as the "hydrophobic effect." Thus, polar hydrophilic solid surfaces in amphiphilic solvents will prefer to associate with polar solvent groups, while non-polar hydrophobic surfaces will prefer to associate with non-polar solvent groups.
[0031] It is well-known in the art that hydrofluoric acid and hydrofluoric acid salts etch silicate glasses. The etch rate is influenced by the concentration of fluoride as well as the pH of the solution. It has been shown that the nature of the solvent may have a substantial effect on the etch rates of different materials in fluoride solutions, which may relate to the aforementioned "hydrophobic effect" (Minsek et al., "Selective Etching of Gap-fill Materials Used for Dual-Damascene Processing"; presented at the Sematech Wafer Cleaning and Surface Prep. Workshop, Austin, TX, May 6-7, 2004).
[0032] The contact angle (θ) of water on a solid film is a good indication of solid surface hydrophobicity, whereby a higher angle indicates a more hydrophobic surface. High hydrophobicity (i.e., low hydrophilicity) of the surface corresponds to a less polar (i.e., more non-polar) surface and a lower surface energy, and vice versa. Table 1 includes energy properties, including contact angle and surface energy, of select materials typically used as dielectric insulators in integrated microelectronic devices. Table 1: Water contact angle and surface energy for several dielectric insulators.
Material Water Contact Angle Surface Energy/mJ cm"2 silicate glass (SiO2) 28° 68 fluorosilicate glass 22° 71
CDO glass 96° 32
[0033] The data in Table 1 shows that low-k CDO glasses are much more hydrophobic in nature than silicate and fluorosilicate glasses. Therefore, it is expected that the solid-liquid interfacial region of the hydrophobic CDO will have a lower polarity than the interfacial region of a more hydrophilic surface, e.g., post-ash/post-etch residue, when the solids are present in a liquid composed of solvent(s) having amphiphilic properties, i.e., both polar and non-polar groups.
[0034] It is well-known that ionic salts have higher solubility in polar solvents than non- polar solvents because of charge stabilization by higher dielectric media. Therefore, it is expected that hydrofluoric acid salts will be more soluble in the polar interfacial region of a hydrophilic solid than in the less polar region of a hydrophobic solid when both solids are present in a liquid composed of solvent(s) having aniphiphilic properties. The non-polar solvent groups partition to form an interfacial non-polar layer around the hydrophobic solid, said layer acting as a passivating layer since it reduces the contact of the reactive ions with the solid. This is the case when the hydrophobic CDO surface is immersed in a liquid solution comprising hydrofiuoride and solvent having amphiphilic character. Analogously, the polar solvent groups form an interfacial polar layer around the hydrophilic post-ash/post-etch residue, thereby permitting the contact of the reactive ions with the solid material. [0035] Accordingly, low-k dielectric materials, which are hydrophobic in nature, e.g., CDO glasses, are less susceptible to damage by a liquid removal composition including etchant ions in a solvent having amphiphilic character. At the same time, the post-ash/post-etch residue, i.e., hydrophilic solid, is readily dissolvable in the same liquid removal composition. [0036] Taking advantage of the "hydrophobic effect," the liquid removal compositions of the present invention, comprising a fluoride ion source and solvent having amphiphilic character, efficiently remove post-etch and post-ash residue from microelectronic devices without damaging the underlying low-k dielectric and metal interconnects materials. Preferably, the etch rates of low-k dielectric and metal interconnect material in the presence of the liquid removal composition of the invention are less than about 5 A min"1, more preferably less than about 3 A min"1, and most preferably less than about 1 A min"1.
[0037] It is within the scope of the present invention that the liquid removal compositions may be used to remove photoresist, post-CMP residues, and/or BARC layers from the surface of a microelectronic device. In addition, the liquid removal compositions of the present invention may be used to remove contaminating materials from photomask materials for reuse thereof. As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
[0038] Importantly, the liquid removal compositions of the present invention must possess good metal compatibility, e.g., a low etch rate on the metal. Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum and ruthenium. [0039] Compositions of the invention may be embodied in a wide variety of specific foπnulations, as hereinafter more fully described.
[0040] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0041] Jh one aspect, the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., both polar and non-polar in character (hereinafter "solvent B"). In another aspect, the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and a solvent mixture, wherein the solvent mixture includes at least one polar solvent (hereinafter "solvent A") and at least one amphiphilic solvent, i.e., solvent B. In other words, a solvent mixture including more than two solvents is contemplated herein. The liquid compositions of the invention effectively remove essentially all residue from the surface of the microelectronic device without causing damage to the dielectric material and without causing corrosion of the underlying metal. As defined herein, the "liquid" removal composition corresponds to a composition whereby neither the temperature nor the pressure of the composition is greater than the critical temperature or critical pressure, respectively, of any of the components included therein. [0042] The composition according to one embodiment comprises at least one fluoride source, at least one polar solvent (solvent A), and at least one amphiphilic solvent (solvent B), present in the following ranges, based on the total weight of the composition. component % by weight fluoride source(s) about 0.01 to about 10.0 % solvent A about 10.0 to about 80.0% solvent B about 10.0 to about 80.0%
[0043] The range of mole ratios for solvent A relative to fluoride source(s) is about 1:1 to about 250:1, preferably about 15:1 to about 150:1, the range of mole ratios for solvent A relative to solvent B is about 0.1:1 to about 15:1, preferably about 2:1 to about 10:1.
[0044] hi the broad practice of the invention, the liquid removal composition may comprise, consist of, or consist essentially of fluoride source, solvent A, and solvent B.
[0045] hi the broad practice of the invention, the pH range of the liquid removal composition is from about 3 to about 8.
[0046] Fluoride source can be hydrofluoric acid or hydrofluoric acid salts, wherein the fluoride counterion is a metal-ion free cation, for example ammonium, primary, secondary, or tertiary allcylammoniums, or tetraalkylammonium, phosphonium or the like. In addition, the fluoride source may be a bifluoride species, including ammonium bifluoride, tetraalkylammonium bifluorides ((R)4NHF2) and alkyl phosphonium difluorides ((R)4PHF2). Preferred fluoride sources include, but are not limited to, triethanolamine hydrofluoride (TEA-HF), and ammonium fluoride.
[0047] Solvent A, i.e., the polar solvent, preferably has (i) a high static dielectric constant (e.g., dielectric constant greater than or equal to 30) and (ii) a high surface tension (e.g., surface tension greater than or equal to 40 mJ cm'2). Solvent A may be either protic, aprotic, or a combination thereof, but preferably would include at least one protic solvent providing strong hydrogen bonding. Suitable protic solvents include, but are not limited to, water, alkanediols (e.g., ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3 -propanediol, etc.), polyglycols (e.g., diethyleneglycol, dipropyleneglycol or higher polyglycols having the general formula H(OCH2CH2)nOH, wherein n >1), alkanetriols (e.g., glycerol), formamide, acetamide, higher amides, and combinations thereof. Suitable aprotic solvents include, but are not limited to, N-metliylpyrrolidone, N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide, γ-butyrolactone, propylene carbonate, and combinations thereof having dielectric and surface energy properties as described above. It is preferred that solvent A presents minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
[0048] Water, although a well known polar solvent, may not be preferred for use as solvent A herein since water may cause an unacceptably high etch rate on polar solid materials such as silicon oxide, carbide, and nitride materials typically used for capping and etch stop layers. We have found that use of water may cause notching at the interface of the low-k and the etch stop material, caused by rapid attack of the liquid removal composition at the interface. Accordingly, preferably the liquid removal composition of the present invention includes less than 10 wt. % water, more preferably less than 5 wt. % water, and most preferably less than 2 wt. % water. Accordingly, the amount of solvent B plus the organic component(s) of solvent A in the liquid removal compositions is preferably greater than 80 wt. %, more preferably greater than 85 wt. % and most preferably greater than 88 wt. %. [0049] Representative solvent A solvents are listed in Table 2.
Table 2: Polar solvents suitable for use as solvent A.
Solvent A surface tension/mJ dielectric constant cm"2 water 73 78 ethylene glycol 48 37 propylene glycol 37 32 di(ethylene glycol) 47 32
N-methylpyrrolidone 46 32
N,N-dimethylacetamide 40 38 propylene carbonate 41 65 γ-butyrolactone 40 39 sulfolane 53 43 dimethylsulfoxide 51 47
[0050] Solvent B, i.e., the amphiphilic solvent, preferably has (i) a low static dielectric constant (e.g., dielectric constant less than or equal to 20) and (ii) a low surface tension (e.g., surface tension less than or equal to 40 mJ cm"2). As previously discussed, solvent B should have amphiphilic properties, i.e., contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups covalently bonded to a hydrophilic group. It is preferred that solvent B present minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
[0051] Solvent B may be a polyglycol ether represented by the formula HO(CH2CHR1O)nR2, wherein R1 is hydrogen or a methyl group, R2 is a straight-chained, branched or cyclic C2 - Ce alkyl group or an aryl group, and n >1. Examples include, but are not limited to, diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, and combinations thereof. Alternatively, solvent B may be a polyglycol ether represented by the formula HO(CHR1CH2O)nR2, wherein R1, R2 and n are as introduced above. Examples include, but are not limited to, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-ρropyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof. It should be appreciated that solvent B may also include combinations of the polyglycol ether(s) represented by the formula HO(CH2CHR1O)nR2 and the polyglycol ether(s) represented by the foπnula HO(CHR1CH2O)nR2.
[0052] As used herein, in reference to the present invention, the term "aryl" is intended to be broadly construed as referring to carbocyclic (e.g., phenyl, naphthyl) as well as heterocyclic aromatic groups (e.g., pyridyl, thienyl, ruranyl, etc.) and encompassing unsubstituted as well as substituted aryl groups, wherein the substituents of substituted aryl groups may include any sterically acceptable substituents which are compatible with such aryl groups and which do not preclude the efficacy of the co-solvent compound for its intended utility. Examples of substituents for substituted aryl groups include one or more of halogen (e.g., fluoro, chloro, bromo, and iodo), amino, amido, Ci -C4 alkyl, Ci -C4 alkoxy, nitro, trifluoromethyl, hydroxy, hydroxyalkyl containing a Ci -C4 alkyl moiety, etc. [0053] Representative solvent B solvents are listed in Table 3.
Table 3: Preferred solvent B species.
Solvent B surface tension/mJ dielectric constant cm"2 butyl carbitol 34 10 (estimated) di(propylene glycol)-n-propyl ether 29 10 (estimated) di(propylene glycol)-n-butyl ether 30 10 (estimated) di(ethylene glycol) methyl ether 38 16
[0054] Importantly, the solvent mixture including solvent A and solvent B acts as a surfactant type additive due to its dual hydrophobic/hydrophilic nature, thus avoiding the use of a conventional surfactant which may cause foaming problems and/or absorb onto surfaces. [0055] Preferably, the liquid removal composition includes less than 2 wt. % lactam solvent, more preferably less than 1 wt. % lactam solvent, even more preferably less than 0.1 wt. % lactam solvent, and most preferably is devoid of lactam solvent, wherein the lactam solvent includes piperidones and cyclohexyl analogues of piperidone.
[0056] Optionally, the liquid removal composition may further include at least one acid-base buffer component to control and stabilize the pH since the etch rate of materials in fluoride solutions are well known to be highly pH-dependent. Preferred buffers include salts of carboxylic acids (e.g., lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, other aliphatic and aromatic carboxylic acids, as well as combinations of the foregoing acids), primary, secondary or tertiary amines (e.g., N,N-dimethyldiglycolamine, monoethanolamine, triethanolamine, triethylenediamine, methylethanolamine, methyldiethanolarnine, pentamethyldiethylenetriamine, 1 ,8~diazabicyclo[5.4.0]undecene, aminopropylmorpholine, hydroxyethylmorpholine, aminoethylmorpholine, hydroxypropylmorpholine, diglycolamine, N-methylpyrrolidinone (NMP), N- octylpyrrolidinone, N-phenylpyrrolidinone, cyclohexylpyrrolidinone and vinyl pyrrolidinone), and combinations thereof. The pH of the liquid removal composition is preferably in a range from about 3 to about 8. When present, the range of mole ratios for solvent A relative to buffer is about 1 : 1 to about 125: 1 , preferably about 5: 1 to about 60: 1. [0057] Preferably, the liquid removal compositions of the invention include less than about 2 wt. % of salts of boric acid, more preferably less than 1 wt. %, even more preferably less than 0.1 wt. %, and most preferably are devoid of salts of boric acid.
[0058] In addition, the liquid removal composition may further include chelator(s), passivator(s), and/or corrosion inhibitor(s) to improve metal compatibility, and/or surfactant(s) to improve the amphiphilic properties of the solution. Optionally, about 0.01 to about 5.0 wt. % surfactant may be present.
[0059] Li a preferred embodiment, the liquid removal composition of the present invention includes at least one fluoride source, solvent A, solvent B and an acid-base buffer. In another preferred embodiment, the liquid removal composition includes at least one fluoride source, solvent B, and residue material, wherein the residue material includes post-etch residue, post- ash residue and/or BARC residue material. In yet another preferred embodiment, the liquid removal composition includes at least one fluoride source, solvent A, solvent B, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material. In still another preferred embodiment, the liquid removal composition includes at least one fluoride source, solvent A, solvent B, an acid-base buffer, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material. Importantly, the residue material may be dissolved and/or suspended in the liquid removal composition of the invention.
[0060] In a particularly preferred embodiment, the liquid removal composition includes propylene glycol, dipropylene glycol n-propyl ether, lactic acid, triethanolamine hydrofluoride and water. In another particularly preferred embodiment, the liquid removal composition includes diethylene glycol monomethyl ether, triethanolamine hydrofluoride, diglycolamine, citric acid, and water.
[0061] In another aspect, the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., solvent B, as described hereinabove. In this embodiment, the composition may include about 0.01 to about 10 wt. % fluoride source and about 90 to about 99.99 wt. % amphiphilic solvent. The range of mole ratios for solvent B relative to fluoride source(s) is about 1:1 to about 100:1, preferably about 10:1 to about 50:1. Optionally, the liquid removal composition may include fluoride source, at least one amphiphilic solvent, and at least one acid-base buffer.
[0062] The liquid removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the liquid removal compositions may be readily foπnulated as single-package foπnulations or multi-part formulations that are mixed at the point of use. The individual parts of the multipart formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the liquid removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. In one particularly preferred embodiment, the liquid removal compositions of the present invention may be packaged and shipped as concentrates that may be diluted at the fab prior to use.
[0063] Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, a fluoride source, at least one amphiphilic solvent, and optionally at least one polar solvent for combining at the fab. According to another embodiment, the kit includes a fluoride source, for combining with the amphiphilic solvent(s) and the optional polar solvent(s) at the fab. According to yet another embodiment, the kit includes, in one or more containers, a fluoride source and at least one amphiphilic solvent, for combining with the optional polar solvent(s) at the fab. In another embodiment, the kit may include at least one acid-base buffer. The containers of the kit must be suitable for storing and shipping said liquid removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). [0064] In residue removal application, the liquid removal composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the liquid removal composition on the surface of the device to be cleaned, by dipping (in a volume of the liquid removal composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the liquid removal composition, or by any other suitable means, manner or technique by which the liquid removal composition is brought into removal contact with the device to be cleaned. Further, batch or single wafer processing is contemplated herein. [0065] As applied to microelectronic manufacturing operations, the liquid removal compositions of the present invention are usefully employed to remove post-etch residue, post-ash residue and/or BARC residue from resist-coated semiconductor substrates, flat panel displays, MEMS and other microelectronic device structures on which such residue is present. The compositions of the present invention, by virtue of their selectivity for such residue relative to low-k dielectric materials that may be present on the microelectronic device, achieve removal of the residue in a highly efficient manner.
[0066] In use of the compositions of the invention for removing residue from microelectronic devices having same thereon, the liquid removal composition typically is contacted with the device for a time of from about 1 minute to about 10 minutes, at temperature in a range of from about 2O0C to about 8O0C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the residue material from the device, within the broad practice of the invention. "At least partial removal" of the residue material from the microelectronic device corresponds to at removal of at least 80% of the residue, preferably at least 95% removal. Most preferably, at least 99% of said residue material is removed using the compositions of the present invention.
[0067] Following the achievement of the desired removal action, the liquid removal composition, which is preferably water miscible, is readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention. Preferably, the rinse solution includes water.
[0068] Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
[0069] A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post- etch or post-ash residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent. [0070] The features and advantages of the invention are more fully illustrated by the following non-limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated. Example 1
[0071] Samples of Formulations A-D, having the respective compositions described below, were prepared.
Formulation A
4.4 wt. % triethanolamine hydrofluoride
1.6 wt. % water
2.6 wt. % malonic acid
3.0 wt. % N,N-dimethyldiglycolamine
50.0 wt. % diethylene glycol
38.4 wt. % diethylene glycol n-butyl ether
Formulation B
7.3 wt. % triethanolamine hydrofluoride
2.7 wt. % water
14.0 wt. % propylene glycol
40.0 wt. % sulfolane
36.0 wt. % diethylene glycol n-butyl ether
Formulation C
0.5 wt. % ammonium fluoride
3.0 wt. % N,N-dimethyldiglycolamine
2.6 wt. % malonic acid
46.95 wt. % diethylene glycol n-butyl ether
46.95 wt. % water
Formulation D
59.3% diethyleneglycol methyl ether
14.6% triethanolamine hydrofluoride salt
11.4% diglycolamine
8.6% citric acid
6.1% water [0072] The efficacy of these formulations for removing residue from a microelectronic device containing same thereon was evaluated in corresponding tests whereby the liquid removal composition was contacted with the device for about two minutes at 3O0C, followed by rinsing of the device with deionized water and blow-drying with nitrogen gas. The device was a patterned BEOL dual damascene structure consisting of (from top to bottom) a TEOS capping layer, porous CDO dielectric and a silicon nitride etch stop layer. The etch rates of the TEOS and CDO layers in the presence of liquid removal compositions A-C was determined by interferometric thickness measurement before and after immersion in the respective composition. The results are provided in Table 5 below.
Table 5: Etch rates of TEOS and CDO in the presence of compositions of the present invention.
Formulation Etch Rate TEOS/A Etch Rate porous min"1 CDO/A min 1
A 6.4 12.3
B 4.9 7.3
C 44 < 1
[0073] It can be seen that depending on the acceptable level of TEOS etching relative to porous CDO etching, which depends in part on the process conditions used during manufacture, the most suitable liquid removal composition may be readily formulated by one skilled in the art. Importantly, the CDO etch rates reported in Table 5 correspond to porous materials. Non-porous, or dense, CDO will have a zero or otherwise negligible etch rate when in contact with Formulations A-C of Example 1.
Example 2
[0074] Samples of Formulations E-J, having the respective compositions described below in Table 6, were prepared.
Table 6: Formulations E-J, wherein TEA-HF is triethanolamine hydrofluoride, PG is propylene glycol, and DPGPE is dipropylene glycol n-propyl ether.
Formulation wt. % wt. % wt. % PG wt. % wt. %
TEA-HF lactic acid DPGPE water E 1.2 0 78.4 20.0 0.4
F 1.2 0.85 77.4 20.0 0.55
G 1.2 1.7 76.4 20.0 0.7
H 1.2 2.55 75.4 20.0 0.85
I 1.2 3.4 74.4 20.0 1.0
J 1.2 4.25 73.4 20.0 1.15
[0075] The efficacy of these formulations for removing residue from a microelectronic device containing same thereon was evaluated in corresponding tests whereby the liquid removal composition was contacted with the device for about two minutes at 3O0C, followed by rinsing of the device with deionized water and blow-drying with nitrogen gas. The device was a patterned BEOL dual damascene structure consisting of (from top to bottom) a TEOS capping layer, CDO dielectric and a silicon nitride etch stop layer. The etch rates of the TEOS and CDO layers in the presence of liquid removal compositions E-J was determined by interferometric thickness measurement before and after immersion in the respective composition. Copper etch rates were measured using the four-point probe technique. The results are provided in Table 7 below.
Table 7: Etch rates of TEOS, CDO and physical vapor deposited (PVD) copper in the presence of compositions of the present invention.
Composition Etch Rate TEOS/A Etch Rate porous Etch Rate PVD min"1 CDO/A min"1 Cu/A min 1
E 8.0 < 1 0.35
F 10.2 < 1 0.61
G 14.9 < 1 0.77
H 16.4 < 1 0.78
I 15.2 < 1 0.25
J 15.1 < 1 0.52
[0076] It can be seen that the etch rate of TEOS is essentially proportional to the concentration of lactic acid (and the increase in water in the composition). Importantly, the etch rates of porous CDO and PVD copper are both less than 1 A min"', and as such, the composition concentrations may be readily varied to correspond to the cleaning requirements.
Example 3 [0077] Wafer cleaning was performed on samples of patterned semiconductor substrate • consisting of layers of low-k dielectric (specifically CDO) and silicon nitride. Plasma etching had been previously performed to transfer a pattern of lines, spaces, and holes of varying dimensions (from about 100 nanometers to greater than 10 microns) from a pattern formed in a top coating of photoresist to the underlying materials. Oxidative plasma ash had been performed to remove the bulk of the photoresist. The pattern consisted of spaces etched into the substrate, stopping at the silicon nitride etch-stop layer. A section of the substrate was cleaned by immersion for a fixed time at a fixed temperature in a static bath containing a liquid removal composition of the present invention. After immersion for the set time the sample was removed, rinsed with copious amounts of de-ionized water, and blown dry with nitrogen. Cleaning was observed using scanning electron microscopy (SEM). [0078] A wafer section was cleaned by immersion in composition A for 4 minutes at 3O0C according to the procedure described hereinabove. SEM analysis showed complete removal of photoresist residue without change to the dimensions of lines, spaces, and holes. [0079] Wafer sections were cleaned by immersion in composition E for 2 minutes at 350C according to the procedure described hereinabove. SEM analysis showed only about 50%, i.e., partial, removal of the photoresist residues.
[0080] Wafer sections were cleaned by immersion in compositions F-J for 2 minutes at 350C according to the procedure described hereinabove. SEM analysis of each showed 100% removal of photoresist residue without change to the dimensions of lines, spaces, and holes. [0081] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims

THE CLAIMSWhat is claimed is:
1. A liquid removal composition, comprising at least one fluoride source and at least one amphiphilic solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
2. The liquid removal composition of claim 1, wherein the mole ratio of amphiphilic solvent(s) relative to fluoride source(s) is in a range from about 1: 1 to about 100:1.
3. The liquid removal composition of claim 1, wherein the at least one fluoride source comprises a fluoride species selected from the group consisting of hydrofluoric acid, ammonium fluoride, primary alkylammonium fluoride, secondary alkylammonium fluoride, tertiary alkylammonium fluoride, tetraalkylammonium fluoride, phosphonium fluoride, ammonium bifluoride, tetraalkylammonium bifluoride, triethanolamine hydrofluoride, alkyl phosphonium difluoride, and combinations thereof.
4. The liquid removal composition of claim 1, wherein the at least one fluoride source comprises triethanolamine hydrofluoride.
5. The liquid removal composition of claim 1, wherein the at least one amphiphilic solvent has a static dielectric constant less than or equal to about 15, and a surface tension less than or equal to about 40 mJ cm'2.
6. The liquid removal composition of claim 1, wherein the at least one amphiphilic solvent comprises a polyglycol ether selected from the group consisting of diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether, tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
7. The liquid removal composition of claim 1, wherein said composition has pH in a range of from about 3 to about 8.
8. The liquid removal composition of claim 1, wherein the post-ash residue, post-etch residue and/or BARC residue comprises photolithographic material.
9. The liquid removal composition of claim 1, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
10. The liquid removal composition of claim 1, further comprising at least one polar solvent.
11. The liquid removal composition of claiml 0, wherein the at least one polar solvent has a static dielectric constant greater than or equal to about 30, and a surface tension greater than or equal to about 40 mJ cm"2.
12. The liquid removal composition of claim 10, wherein the at least one polar solvent comprises a solvent selected from the group consisting of water, ethylene glycol, propylene glycol, neopentyl glycol, 1,3 -propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, N-methylpyrrolidone, N5N- dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide, γ- butyrolactone, propylene carbonate, and combinations thereof.
13. The liquid removal composition of claim 10, wherein the mole ratio of polar solvent(s) relative to fluoride source(s) is in a range from about 1 : 1 to about 250: 1.
14. The liquid removal composition of claim 10, wherein the mole ratio of polar solvent(s) relative to amphiphilic solvent(s) is in a range from about 0.1:1 to about 15:1.
15. The liquid removal composition of claim 1, further comprising a component selected from the group consisting of at least one acid-base buffer, at least one chelator, at least one passivator, at least one corrosion inhibitor, and at least one surfactant.
16. The liquid removal composition of claim 1, further comprising at least one acid-base buffer selected from the group consisting of lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, N,N- dimethyldiglycolamine, monoethanolamine, triethanolamine, triethylenediamine, methylethanolamine, methyldiethanolamine, pentamethyldiethylenetriamine, 1,8- diazabicyclo[5.4.0]undecene, aminopropylmorpholine, hydroxyethylmorpholine, aminoethylmorpholine, hydroxypropylmorpholine, diglycolamine, N- methylpyrrolidinone (NMP), N-octylpyrrolidinone, N-phenylpyrrolidinone, cyclohexylpyrrolidinone, vinyl pyrrolidinone, and combinations thereof.
17. The liquid removal composition of claim 1, further comprising residue material selected from the group consisting of post-etch residue, post-ash residue, BARC residue, and combinations thereof.
18. The liquid removal composition of claim 10, wherein said composition includes less than about 5 wt. % water.
19. The liquid removal composition of claim 10, further comprising at least one acid-base buffer, wherein said removal composition comprises propylene glycol, dipropylene glycol n-propyl ether, lactic acid, triethanolamine hydrofluoride, and water.
20. The liquid removal composition of claim 10, further comprising at least one acid-base buffer, wherein said removal composition comprises diethylene glycol monomethyl ether, diglycolamine, triethanolamine hydrofluoride, citric acid, and water.
21. A kit comprising, in one or more containers, liquid removal composition reagents, wherein the liquid removal composition comprises at least one fluoride source and at least one amphiphilic solvent, and wherein the kit is adapted to form a liquid removal composition suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
22. The kit of claim 21 , further comprising,at least one polar solvent.
23. A method of removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time and under sufficient conditions to at least partially remove said residue from the microelectronic device, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent.
24. The method of claim 23, wherein said contacting is carried out for a time of from about 1 minute to about 10 minutes.
25. The method of claim 23, wherein said contacting is carried out at temperature in a range of from about 2O0C to about 8O0C.
26. The method of claim 23, wherein the at least one fluoride source comprises a fluoride species selected from the group consisting of hydrofluoric acid, ammonium fluoride, primary alkylammonium fluoride, secondary alkylammonium fluoride, tertiary alkylammonium fluoride, tetraalkylammonium fluoride, phosphonium fluoride, ammonium bifluoride, tetraalkylammonium bifluoride, alkyl phosphonium difluoride, triethanolamine hydrofluoride, and combinations thereof; and
wherein the at least one amphiphilic solvent comprises a polyglycol ether selected from the group consisting of diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether, tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
27. The method of claim 23, wherein said composition has a pH in a range of from about 3 to about 8.
28. The method of claim 23, wherein the post-ash residue, post-etch residue and/or BARC residue comprises photolithographic material.
29. The method of claim 23, wherein the microelectronic device is of an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
30. The method of claim 23, wherein said composition further comprises at least one polar solvent.
31. The method of claim 30, wherein the at least one polar solvent comprises a solvent selected from the group consisting of water, ethylene glycol, propylene glycol, neopentyl glycol, 1,3 -propanediol, diethyleneglycol, dipropyleneglycol, glycerol, formamide, acetamide, N-methylpyrrolidone, N,N-dimethylformamide, N5N- dimethylacetamide, sulfolane, dimethylsulfoxide, γ-butyrolactone, propylene carbonate, and combinations thereof.
32. The method of claim 23, wherein said composition further comprises at least one-acid base buffer.
33. The method of claim 23, wherein the contacting comprises a process selected from the group consisting of: spraying the liquid removal composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of liquid removal composition; contacting a surface of the microelectronic device with another material that is saturated with the liquid removal composition; contacting the microelectronic device with a circulating liquid removal composition; contacting the microelectronic device with a continuous flow of the liquid removal composition; and contacting the microelectronic device surface with a static volume of the liquid removal composition for a continued period of time. 4. The method of claim 23, further comprising rinsing the microelectronic device with deionized water following contact with the liquid removal composition.
PCT/US2006/013306 2005-04-11 2006-04-10 Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices WO2006110645A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67016105P 2005-04-11 2005-04-11
US60/670,161 2005-04-11

Publications (2)

Publication Number Publication Date
WO2006110645A2 true WO2006110645A2 (en) 2006-10-19
WO2006110645A3 WO2006110645A3 (en) 2007-03-01

Family

ID=37087593

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/013306 WO2006110645A2 (en) 2005-04-11 2006-04-10 Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices

Country Status (2)

Country Link
TW (1) TW200639595A (en)
WO (1) WO2006110645A2 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008052424A1 (en) * 2006-10-27 2008-05-08 Anji Microelectronics (Shanghai) Co., Ltd. A cleaning compound for removing photoresist
EP1944355A1 (en) * 2007-01-11 2008-07-16 Air Products and Chemicals, Inc. Cleaning composition for semiconductor substrates
WO2009073596A2 (en) * 2007-11-30 2009-06-11 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
EP2094825A2 (en) * 2006-11-07 2009-09-02 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
EP2210677A1 (en) * 2009-01-22 2010-07-28 Electric Power Research Institute, Inc. Cleaning solution and cleaning method for an electrical conductor
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
CN102109777A (en) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 Regeneration liquid of plasma display barrier wall slurry
CN102808190A (en) * 2012-08-31 2012-12-05 昆山艾森半导体材料有限公司 Environmentally-friendly weak alkaline low-temperature deburring softening solution, and preparation method and use method thereof
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10133180B2 (en) 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US20190157156A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN115427547A (en) * 2020-04-09 2022-12-02 昭和电工株式会社 Composition and method for cleaning adhesive polymer

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
CN103631101B (en) * 2012-08-22 2018-01-09 得凯莫斯公司弗罗里达有限公司 Photoresistance stripper comprising fluorine-containing surfactant

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068000A (en) * 1996-07-11 2000-05-30 Tokyo Ohka Kogyo Co., Ltd. Substrate treatment method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068000A (en) * 1996-07-11 2000-05-30 Tokyo Ohka Kogyo Co., Ltd. Substrate treatment method

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US8642526B2 (en) 2005-11-09 2014-02-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
WO2008052424A1 (en) * 2006-10-27 2008-05-08 Anji Microelectronics (Shanghai) Co., Ltd. A cleaning compound for removing photoresist
EP2094825A4 (en) * 2006-11-07 2012-03-21 Advanced Tech Materials Formulations for cleaning memory device structures
EP2094825A2 (en) * 2006-11-07 2009-09-02 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
EP1944355A1 (en) * 2007-01-11 2008-07-16 Air Products and Chemicals, Inc. Cleaning composition for semiconductor substrates
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
WO2009073596A2 (en) * 2007-11-30 2009-06-11 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2009073596A3 (en) * 2007-11-30 2009-08-27 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
EP2210677A1 (en) * 2009-01-22 2010-07-28 Electric Power Research Institute, Inc. Cleaning solution and cleaning method for an electrical conductor
AU2010200197B2 (en) * 2009-01-22 2011-10-06 Electric Power Research Institute, Inc. Conductor cleaning system and method
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
CN102109777B (en) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 Regeneration liquid of plasma display barrier wall slurry
CN102109777A (en) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 Regeneration liquid of plasma display barrier wall slurry
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9790600B2 (en) 2011-09-30 2017-10-17 Entegris, Inc. Etching agent for copper or copper alloy
US10133180B2 (en) 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
CN102808190A (en) * 2012-08-31 2012-12-05 昆山艾森半导体材料有限公司 Environmentally-friendly weak alkaline low-temperature deburring softening solution, and preparation method and use method thereof
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US20190157156A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
CN115427547A (en) * 2020-04-09 2022-12-02 昭和电工株式会社 Composition and method for cleaning adhesive polymer

Also Published As

Publication number Publication date
WO2006110645A3 (en) 2007-03-01
TW200639595A (en) 2006-11-16

Similar Documents

Publication Publication Date Title
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
EP3040409B1 (en) Stripping compositions having high wn/w etching selectivity
EP1612858B1 (en) Composition for stripping and cleaning and use thereof
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR100595024B1 (en) Stripping composition
EP1619557B1 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4755060B2 (en) Aqueous cleaning composition for removing residues and method of using the same
EP1944355B1 (en) Cleaning composition for semiconductor substrates
US20060003910A1 (en) Composition and method comprising same for removing residue from a substrate
JP2005528660A (en) Semiconductor process residue removal composition and method
KR20060014388A (en) Removal of post-etch residues in semiconductor processing
JP2007519942A (en) Chemicals and methods for stripping resist, BARC, and gap fill materials
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US7682458B2 (en) Aqueous based residue removers comprising fluoride
KR20220024521A (en) Cleaning composition for semiconductor substrates
JP2007027382A (en) Substrate cleaning solution
JP2007311729A (en) Substrate cleaning solution

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06749651

Country of ref document: EP

Kind code of ref document: A2