WO2006127586A3 - Methods for forming arrays of small, closely spaced features - Google Patents

Methods for forming arrays of small, closely spaced features Download PDF

Info

Publication number
WO2006127586A3
WO2006127586A3 PCT/US2006/019710 US2006019710W WO2006127586A3 WO 2006127586 A3 WO2006127586 A3 WO 2006127586A3 US 2006019710 W US2006019710 W US 2006019710W WO 2006127586 A3 WO2006127586 A3 WO 2006127586A3
Authority
WO
WIPO (PCT)
Prior art keywords
methods
small
pitch
forming arrays
closely spaced
Prior art date
Application number
PCT/US2006/019710
Other languages
French (fr)
Other versions
WO2006127586A2 (en
Inventor
Mirzafer Abatchev
Gurtej Sandhu
Original Assignee
Micron Technology Inc
Mirzafer Abatchev
Gurtej Sandhu
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc, Mirzafer Abatchev, Gurtej Sandhu filed Critical Micron Technology Inc
Priority to KR1020077030224A priority Critical patent/KR101284410B1/en
Priority to JP2008513582A priority patent/JP5239854B2/en
Priority to EP06770823A priority patent/EP1886340B1/en
Publication of WO2006127586A2 publication Critical patent/WO2006127586A2/en
Publication of WO2006127586A3 publication Critical patent/WO2006127586A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

Methods of forming arrays of small, densely spaced holes or pillars for use in integrated circuits are disclosed. Various pattern transfer and etching steps can be used, in combination with pitch-reduction techniques, to create densely-packed features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed, pitch-reduced patterns of crossing elongate features that can be consolidated into a single layer.
PCT/US2006/019710 2005-05-23 2006-05-22 Methods for forming arrays of small, closely spaced features WO2006127586A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020077030224A KR101284410B1 (en) 2005-05-23 2006-05-22 Methods for forming arrays of small, closely spaced features
JP2008513582A JP5239854B2 (en) 2005-05-23 2006-05-22 Method for forming an array of small, narrow space components
EP06770823A EP1886340B1 (en) 2005-05-23 2006-05-22 Methods for forming arrays of small, closely spaced features

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/134,982 2005-05-23
US11/134,982 US7429536B2 (en) 2005-05-23 2005-05-23 Methods for forming arrays of small, closely spaced features

Publications (2)

Publication Number Publication Date
WO2006127586A2 WO2006127586A2 (en) 2006-11-30
WO2006127586A3 true WO2006127586A3 (en) 2007-04-19

Family

ID=37056521

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/019710 WO2006127586A2 (en) 2005-05-23 2006-05-22 Methods for forming arrays of small, closely spaced features

Country Status (7)

Country Link
US (3) US7429536B2 (en)
EP (1) EP1886340B1 (en)
JP (1) JP5239854B2 (en)
KR (1) KR101284410B1 (en)
CN (1) CN100547731C (en)
TW (1) TWI299526B (en)
WO (1) WO2006127586A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9035384B2 (en) 2011-12-19 2015-05-19 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9153697B2 (en) 2010-06-15 2015-10-06 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor (SGT) structure
US9184159B2 (en) 2006-04-07 2015-11-10 Micron Technology, Inc. Simplified pitch doubling process flow
US9412591B2 (en) 2007-07-31 2016-08-09 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080071973A1 (en) * 2000-01-06 2008-03-20 Chow David Q Electronic data flash card with various flash memory cells
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7494885B1 (en) * 2004-04-05 2009-02-24 Advanced Micro Devices, Inc. Disposable spacer process for field effect transistor fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640640B1 (en) * 2005-04-19 2006-10-31 삼성전자주식회사 Method of forming fine pattern of semiconductor device using fine pitch hardmask
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100628249B1 (en) * 2005-09-13 2006-09-27 동부일렉트로닉스 주식회사 Method for manufacturing semiconductor device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7998874B2 (en) 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100822581B1 (en) * 2006-09-08 2008-04-16 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100817088B1 (en) * 2007-02-16 2008-03-26 삼성전자주식회사 Method of forming fine damascene metal pattern for semiconductor device
KR100817089B1 (en) * 2007-02-28 2008-03-26 삼성전자주식회사 Method of forming fine pattern of semiconductor device using double patterning technique
US20080241574A1 (en) * 2007-03-26 2008-10-02 Advanced Micro Devices, Inc. Semiconductor device having structure with sub-lithography dimensions
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US8133745B2 (en) * 2007-10-17 2012-03-13 Magic Technologies, Inc. Method of magnetic tunneling layer processes for spin-transfer torque MRAM
WO2009057194A1 (en) * 2007-10-29 2009-05-07 Unisantis Electronics (Japan) Ltd. Semiconductor structure, and manufacturing method for that semiconductor structure
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR101573949B1 (en) * 2007-11-08 2015-12-02 램 리써치 코포레이션 Pitch reduction using oxide spacer
US8083958B2 (en) * 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
JP5193582B2 (en) 2007-12-12 2013-05-08 株式会社東芝 Manufacturing method of semiconductor device
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030215B1 (en) * 2008-02-19 2011-10-04 Marvell International Ltd. Method for creating ultra-high-density holes and metallization
JP5154395B2 (en) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 Semiconductor device manufacturing method and resist coating / developing system
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8158014B2 (en) * 2008-06-16 2012-04-17 International Business Machines Corporation Multi-exposure lithography employing differentially sensitive photoresist layers
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8222159B2 (en) 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
JP2010050384A (en) * 2008-08-25 2010-03-04 Elpida Memory Inc Method of manufacturing semiconductor device
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP4719910B2 (en) * 2008-11-26 2011-07-06 国立大学法人東北大学 Manufacturing method of semiconductor device
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101528823B1 (en) 2009-01-19 2015-06-15 삼성전자주식회사 Semiconductor memory device and method of manufacturing the same
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US20110076784A1 (en) * 2009-09-29 2011-03-31 Grandis Inc. Fabrication of Magnetic Element Arrays
US8741696B2 (en) * 2009-10-26 2014-06-03 Sandisk 3D Llc Methods of forming pillars for memory cells using sequential sidewall patterning
KR101648128B1 (en) * 2009-12-28 2016-08-24 삼성전자주식회사 Method for forming fine pattern having variable width and method for manufacturing semiconductor device using the same
JP4733214B1 (en) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 Mask pattern forming method and semiconductor device manufacturing method
JP2011258605A (en) 2010-06-04 2011-12-22 Toshiba Corp Patterning method and method of manufacturing semiconductor device
KR20110135136A (en) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
KR101815590B1 (en) * 2010-11-23 2018-01-05 삼성전자 주식회사 Method of forming patterns for semiconductor device
KR101708375B1 (en) * 2011-04-29 2017-02-21 에스케이하이닉스 주식회사 Method for fabricating hole pattern semiconductor device
US8575032B2 (en) * 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8772183B2 (en) 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
KR101903477B1 (en) * 2012-01-11 2018-10-02 삼성전자주식회사 Method of fabricating a semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101883327B1 (en) * 2012-03-28 2018-07-30 삼성전자주식회사 Method for forming fine patterns of semiconductor device
KR101883294B1 (en) * 2012-03-28 2018-07-30 삼성전자주식회사 Method for forming fine patterns of semiconductor device
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
JP6061610B2 (en) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9245987B2 (en) * 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
CN104241117B (en) * 2013-06-09 2017-05-17 中芯国际集成电路制造(上海)有限公司 Imaging method
CN104425211B (en) * 2013-08-20 2017-11-03 中芯国际集成电路制造(上海)有限公司 Semiconductor patterning method
JP5904981B2 (en) * 2013-09-09 2016-04-20 株式会社東芝 Pattern forming method, magnetic recording medium manufacturing method, and magnetic recording medium
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9425049B2 (en) * 2014-01-14 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cut first self-aligned litho-etch patterning
US9293358B2 (en) * 2014-01-23 2016-03-22 Silicon Storage Technology, Inc. Double patterning method of forming semiconductor active areas and isolation regions
US9184059B2 (en) * 2014-03-21 2015-11-10 Inotera Memories, Inc. Method for increasing pattern density
TW201543564A (en) * 2014-05-09 2015-11-16 Powerchip Technology Corp Semiconductor fabrication method
JP5869057B2 (en) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション Semiconductor memory device
KR102186928B1 (en) * 2014-07-18 2020-12-04 삼성전자주식회사 Methods of forming patterns and methods of manufacturing semiconductor devices using the same
WO2016065308A1 (en) * 2014-10-23 2016-04-28 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
US9595475B2 (en) * 2014-12-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stage fin formation methods and structures thereof
CN106373880B (en) * 2015-07-22 2021-05-25 联华电子股份有限公司 Semiconductor device and method for forming the same
TWI627704B (en) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 Method for modifying spacer profile
KR102207120B1 (en) * 2016-01-29 2021-01-22 도쿄엘렉트론가부시키가이샤 Method and system for forming a memory pin pattern
CN105759560A (en) * 2016-05-13 2016-07-13 武汉新芯集成电路制造有限公司 Layout structure of combined photomask as well as formation method and application method for layout structure
US9911619B1 (en) * 2016-10-12 2018-03-06 Globalfoundries Inc. Fin cut with alternating two color fin hardmask
JP6814377B2 (en) * 2016-10-20 2021-01-20 東京エレクトロン株式会社 How to reduce overlay errors in via-to-grid patterning
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN108281413B (en) 2017-01-06 2019-09-17 联华电子股份有限公司 The method for making capacitor
US9905424B1 (en) * 2017-04-24 2018-02-27 Globalfoundries Inc. Self-aligned non-mandrel cut formation for tone inversion
US10361080B2 (en) * 2017-07-04 2019-07-23 United Microelectronics Corp. Patterning method
TW201917775A (en) * 2017-07-15 2019-05-01 美商微材料有限責任公司 Mask scheme for cut pattern flow with enlarged EPE window
CN109309091A (en) 2017-07-28 2019-02-05 联华电子股份有限公司 Patterning method
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
CN109755107B (en) 2017-11-07 2020-09-29 联华电子股份有限公司 Self-aligned double patterning method
CN109872946B (en) 2017-12-04 2020-12-01 联华电子股份有限公司 Method for forming semiconductor device
CN109872993B (en) 2017-12-04 2021-09-14 联华电子股份有限公司 Layout of semiconductor structure, semiconductor device and forming method thereof
CN109920730B (en) 2017-12-13 2021-04-20 联华电子股份有限公司 Patterning method
CN110021518B (en) 2018-01-09 2020-12-22 联华电子股份有限公司 Self-aligned double patterning method
US10170310B1 (en) 2018-02-20 2019-01-01 United Microelectronics Corp. Method of forming patterned structure
KR20200118504A (en) 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN110707005B (en) 2018-08-03 2022-02-18 联华电子股份有限公司 Semiconductor device and method of forming the same
CN110875313A (en) * 2018-08-30 2020-03-10 长鑫存储技术有限公司 Active area array and forming method thereof, semiconductor device and forming method thereof
KR102029127B1 (en) * 2019-02-08 2019-10-07 영창케미칼 주식회사 A new method for forming a silicon or silicon compound pattern in a semiconductor manufacturing process
KR20210089878A (en) 2020-01-09 2021-07-19 삼성전자주식회사 Methods of cutting a fine pattern, methods of forming active patterns using the same, and methods of manufacturing a semiconductor device using the same
CN113345800B (en) 2020-03-02 2022-09-09 长鑫存储技术有限公司 Active area array forming method and semiconductor structure
KR20210117003A (en) 2020-03-18 2021-09-28 삼성전자주식회사 Integrated Circuit devices and manufacturing methods for the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3800412A (en) * 1972-04-05 1974-04-02 Alpha Ind Inc Process for producing surface-oriented semiconducting devices
JPS5748237Y2 (en) 1978-12-28 1982-10-22
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (en) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart METHOD FOR PRODUCING A THIN DIELECTRIC INSULATION IN A SILICON SEMICONDUCTOR BODY
US4716131A (en) * 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916U (en) 1987-08-28 1989-03-03
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
JP3074713B2 (en) * 1990-09-18 2000-08-07 日本電気株式会社 Method for manufacturing semiconductor device
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
DE4034612A1 (en) 1990-10-31 1992-05-07 Huels Chemische Werke Ag METHOD FOR PRODUCING ORGANOSILANES CONTAINING METHACRYLOXY OR ACRYLOXY GROUPS
IT1243919B (en) 1990-11-20 1994-06-28 Cons Ric Microelettronica PROCEDURE FOR OBTAINING PLANARIZED SUBMICHROMETRIC GROOVES IN INTEGRATED CIRCUITS REALIZED WITH ULSI TECHNOLOGY
JP3019884B2 (en) * 1991-09-05 2000-03-13 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JPH05343370A (en) 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
JPH0677180A (en) * 1992-08-24 1994-03-18 Fujitsu Ltd Manufacture of fine linear etching mask
DE4236609A1 (en) 1992-10-29 1994-05-05 Siemens Ag Method for forming a structure in the surface of a substrate - with an auxiliary structure laterally bounding an initial masking structure, followed by selective removal of masking structure using the auxiliary structure as an etching mask
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR0122315B1 (en) * 1993-12-27 1997-11-26 김주용 Micro-patterning method of semiconductor
KR950034748A (en) * 1994-05-30 1995-12-28 김주용 Photoresist pattern formation method
KR970007173B1 (en) 1994-07-14 1997-05-03 현대전자산업 주식회사 Fine patterning method
JPH0855920A (en) 1994-08-15 1996-02-27 Toshiba Corp Manufacture of semiconductor device
JPH0855908A (en) 1994-08-17 1996-02-27 Toshiba Corp Semiconductor device
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (en) * 1995-06-30 1999-06-01 김영환 Method of forming mosfet
JP3393286B2 (en) 1995-09-08 2003-04-07 ソニー株式会社 Pattern formation method
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (en) * 1996-08-21 2001-05-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (en) 1997-06-14 1999-11-15 문정환 Method for forming metal interconnector of semiconductor device
US6046085A (en) * 1997-12-08 2000-04-04 Advanced Micro Devices, Inc. Elimination of poly stringers with straight poly profile
KR100247862B1 (en) 1997-12-11 2000-03-15 윤종용 Semiconductor device and method for manufacturing the same
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6087263A (en) * 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
JP2975917B2 (en) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US6103596A (en) * 1998-02-19 2000-08-15 Taiwan Semiconductor Manufacturing Company Process for etching a silicon nitride hardmask mask with zero etch bias
JP3111977B2 (en) * 1998-05-15 2000-11-27 日本電気株式会社 Method for manufacturing semiconductor device
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
TW376582B (en) 1998-06-26 1999-12-11 Vanguard Int Semiconduct Corp Method of forming COB DRAM with self-aligned pole and bitline contact plug
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6060383A (en) * 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
TW405215B (en) * 1998-10-26 2000-09-11 Nanya Technology Corp The method of isolating the inner metal lining
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
JP3781175B2 (en) 1998-12-28 2006-05-31 旭化成マイクロシステム株式会社 Contact hole formation method
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (en) 1999-04-23 2000-11-02 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) * 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (en) * 1999-06-15 2000-12-26 Toshiba Corp Semiconductor device and manufacture thereof
KR100333382B1 (en) 1999-06-24 2002-04-18 박종섭 Method for forming multi-level metal interconnection of semiconductor device
JP2001077196A (en) 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
US6730571B1 (en) * 1999-10-14 2004-05-04 Chartered Semiconductor Manufacturing Ltd. Method to form a cross network of air gaps within IMD layer
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
TW440924B (en) 2000-02-15 2001-06-16 United Microelectronics Corp Reverse-offset spacer process capable of decreasing photolithography limitation
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2001308220A (en) * 2000-04-24 2001-11-02 Nec Corp Semiconductor package and its manufacturing method
JP3805603B2 (en) 2000-05-29 2006-08-02 富士通株式会社 Semiconductor device and manufacturing method thereof
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (en) * 2000-09-20 2002-05-21 Obducat Ab Wet etching of substrate involves arranging on the substrate a passivating substance comprising active substance reacting with component contained in etchant to form etch protecting compound
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
TW462080B (en) 2000-11-10 2001-11-01 Vanguard Int Semiconduct Corp Forming method of MOSFET with recessed-gate beyond photolithography limit
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (en) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ Method of forming fine pattern, method of manufacturing semiconductor device, and semiconductor device
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (en) * 2001-08-31 2003-04-03 Infineon Technologies Ag Production of resist structures used in semiconductor industry comprises applying a resist film on a substrate, forming a resist structure with bars from the film, and removing reinforced sections
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP4969001B2 (en) 2001-09-20 2012-07-04 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
JP2003133437A (en) 2001-10-24 2003-05-09 Hitachi Ltd Semiconductor device and manufacturing method thereof
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) * 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (en) * 2002-06-14 2005-03-17 삼성전자주식회사 Method Of Forming Fine Pattern Of Semiconductor Device
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
JP4058327B2 (en) 2002-10-18 2008-03-05 富士通株式会社 Manufacturing method of semiconductor device
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (en) 2002-10-28 2008-01-16 富士通株式会社 Method for manufacturing fine pattern and method for manufacturing semiconductor device
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (en) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
KR100536801B1 (en) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 Semiconductor device and fabrication method thereof
TWI220560B (en) 2003-10-27 2004-08-21 Powerchip Semiconductor Corp NAND flash memory cell architecture, NAND flash memory cell array, manufacturing method and operating method of the same
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (en) 2003-11-14 2005-06-09 Sony Corp Method of manufacturing semiconductor device
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) * 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US20060026699A1 (en) * 2004-06-04 2006-02-02 Largaespada David A Methods and compositions for identification of genomic sequences
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
DE102005026228B4 (en) 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon GAA type transistor and method of making the same
JP4543767B2 (en) * 2004-06-10 2010-09-15 株式会社ニコン Exposure apparatus and device manufacturing method
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (en) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (en) * 2004-10-11 2006-08-22 삼성전자주식회사 Apparatus And Method For Pattern Exposure, Photomask Used Therefor, Design Method For The Photomask, Illuminating System Therefor and Implementing Method For The Illuminating System
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (en) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 Capacitor of semiconductor device and method for forming the same
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (en) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 Composition for Hard Mask and Method for Forming Pattern of Semiconductor Device using it
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (en) * 2005-04-19 2006-10-31 삼성전자주식회사 Semiconductor device having fine contact and method of manufacturing the same
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (en) 2005-06-16 2006-12-28 Toshiba Corp Manufacturing method of semiconductor device
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (en) 2005-09-30 2012-11-13 삼성전자주식회사 Method for forming patterns of semiconductor device
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (en) 2005-12-26 2007-05-02 삼성전자주식회사 Method of forming self aligned double pattern
KR100672123B1 (en) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 Method for forming micro pattern in semiconductor device
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8324979B2 (en) * 2009-02-25 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Coupled microstrip lines with ground planes having ground strip shields and ground conductor extensions

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184159B2 (en) 2006-04-07 2015-11-10 Micron Technology, Inc. Simplified pitch doubling process flow
US9412591B2 (en) 2007-07-31 2016-08-09 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US9153697B2 (en) 2010-06-15 2015-10-06 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor (SGT) structure
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9035384B2 (en) 2011-12-19 2015-05-19 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9245889B2 (en) 2011-12-19 2016-01-26 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9362353B2 (en) 2011-12-19 2016-06-07 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9478545B2 (en) 2011-12-19 2016-10-25 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device

Also Published As

Publication number Publication date
US20120228742A1 (en) 2012-09-13
US20080290527A1 (en) 2008-11-27
JP5239854B2 (en) 2013-07-17
CN101180708A (en) 2008-05-14
TWI299526B (en) 2008-08-01
EP1886340A2 (en) 2008-02-13
US20060263699A1 (en) 2006-11-23
KR20080017391A (en) 2008-02-26
JP2008546186A (en) 2008-12-18
KR101284410B1 (en) 2013-07-15
US7429536B2 (en) 2008-09-30
US9099402B2 (en) 2015-08-04
EP1886340B1 (en) 2013-02-27
WO2006127586A2 (en) 2006-11-30
CN100547731C (en) 2009-10-07
TW200703512A (en) 2007-01-16
US8207614B2 (en) 2012-06-26

Similar Documents

Publication Publication Date Title
WO2006127586A3 (en) Methods for forming arrays of small, closely spaced features
WO2009017982A3 (en) Methods for device fabrication using pitch reduction and associated structures
TW200619856A (en) Printing plate and method for fabricating the same
WO2010042290A3 (en) Methods of utilizing block copolymer to form patterns
WO2007127496A8 (en) Topography directed patterning
WO2010047769A8 (en) Reduction of stress during template separation from substrate
UA97809C2 (en) Multi-layer body and method for producing thereof
WO2011006634A3 (en) Method for the production of a multilayer element, and multilayer element
EP2157477A4 (en) Resist composition for negative working-type development, and method for pattern formation using the resist composition
WO2007044107A3 (en) Multi-level layer
DE602006011289D1 (en) PATTERNS WITH LESS SPOT IN CONNECTION WITH PHOTOLITHOGRAPHIC CHARACTERISTICS
EP1844356A4 (en) Method of patterning conductive layers, method of manufacturing polarizers, and polarizers manufactured using the same
TW200623474A (en) Method for manufacturing a small pin on integrated circuits or other devices
WO2004061994A3 (en) Methods of fabricating devices by low pressure cold welding
DK1709110T3 (en) EXPANDED POLYSTYRIC BALLS WITH A FUNCTIONAL SKIN LAYER, THEIR PRODUCTION PROCEDURE, AND THE FUNCTIONAL EPS PRODUCT AND THEIR PROCEDURES THEREOF USING THIS
WO2010070485A3 (en) Methods for manufacturing panels
EP1942179A4 (en) Chip provided with film having hole pattern with the use of thermoresponsive polymer and method of producing the same
EP2087404A4 (en) Composition for resist lower layer film formation and method for pattern formation
EP2177506A4 (en) Positive resist composition, pattern forming method using the composition, and compound used in the composition
SG123705A1 (en) Mask and method to pattern chromeless phase lithography contact hole
WO2007030527A3 (en) Photomask for the fabrication of a dual damascene structure and method for forming the same
WO2007068714A3 (en) Method of making a contact in a semiconductor device
WO2011005889A3 (en) A surface bearing patterned indicia having micro-structures and method of making the same
TWI318724B (en) Method for forming positive resist composition, positive resist composition, and method for forming resist pattern
EP1752826A4 (en) Resist pattern forming method and composite rinse agent

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680017977.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008513582

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006770823

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: RU

WWE Wipo information: entry into national phase

Ref document number: 1020077030224

Country of ref document: KR