WO2007014294A2 - Solutions integrated circuit integration of alternative active area materials - Google Patents

Solutions integrated circuit integration of alternative active area materials Download PDF

Info

Publication number
WO2007014294A2
WO2007014294A2 PCT/US2006/029247 US2006029247W WO2007014294A2 WO 2007014294 A2 WO2007014294 A2 WO 2007014294A2 US 2006029247 W US2006029247 W US 2006029247W WO 2007014294 A2 WO2007014294 A2 WO 2007014294A2
Authority
WO
WIPO (PCT)
Prior art keywords
active area
area material
source
substrate
drain
Prior art date
Application number
PCT/US2006/029247
Other languages
French (fr)
Other versions
WO2007014294A3 (en
Inventor
Anthony J. Lochtefeld
Matthew T. Currie
Shi-Yuan Chiang
James Fiorenza
Original Assignee
Amberwave Systems Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amberwave Systems Corporation filed Critical Amberwave Systems Corporation
Priority to EP06800414A priority Critical patent/EP1911086A2/en
Priority to JP2008524156A priority patent/JP5481067B2/en
Priority to KR1020087004519A priority patent/KR101329388B1/en
Priority to CN200680032320.9A priority patent/CN101268547B/en
Publication of WO2007014294A2 publication Critical patent/WO2007014294A2/en
Publication of WO2007014294A3 publication Critical patent/WO2007014294A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to methods and materials for formation of structures including alternative active area materials.
  • Another new application could be the combination of Si CMOS logic with ultra-high speed RF devices, such as InP- or GaAs- based high electron mobility transistor (HEMT) or heterojunction bipolar transistor (HBT) devices similar to those utilized for high-frequency applications today.
  • HEMT high electron mobility transistor
  • HBT heterojunction bipolar transistor
  • Si CMOS logic with opto-electronic devices, since many non-Si semiconductors have light emission and detection performance superior to Si.
  • Selective epitaxy is an attractive path for hetero-materials integration for several reasons. First, it allows adding the non-Si semiconductor material only where it is needed, and so is only marginally disruptive to a Si CMOS process performed on the same wafer. Also, selective epitaxy may allow the combination of multiple new materials on a Si wafer, e.g., Ge for PMOS and InGaAs for NMOS. Furthermore, it is likely to be much more economical than key alternative paths, e.g., layer transfer of global hetero-epitaxial films, especially for integrating materials with large lattice mismatch.
  • the invention features a method for forming a structure, including providing a substrate including a crystalline semiconductor material. A masking layer is formed over the substrate, and a window is defined in the masking layer. The window is filled with an active area material by selective epitaxy.
  • a device including at least a portion of the active area material. [0008] The following feature may be included. A surface of the active area material is planarized such that the surface is substantially coplanar with a surface of the masking layer. [0009]
  • the invention features a method for forming a structure, including providing a substrate comprising a crystalline semiconductor material, and defining a first shallow trench isolation region in the semiconductor material. A thin dielectric layer is defined over the substrate, and a window is defined in the thin dielectric layer to expose a portion of the semiconductor material bound by the first shallow trench isolation region. The exposed portion of the semiconductor material is removed to define an opening. The opening is filled with an active area material by selective epitaxy.
  • the thin dielectric layer is selectively removed, and a device is defined including at least a portion of the active area material.
  • a surface of the active area material is planarized such that the surface is substantially coplanar with a surface of the thin dielectric layer.
  • the substrate includes a layer including the crystalline semiconductor material bonded to a wafer.
  • the crystalline semiconductor material has a first crystalline orientation and the active area material includes a second crystalline semiconductor material having a second crystalline orientation different from the first crystalline orientation.
  • a second shallow trench isolation region is defined in the semiconductor material.
  • the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline semiconductor material and defining a first shallow trench isolation region in the semiconductor material.
  • a thin dielectric layer is defined over the substrate.
  • a window is defined in the thin dielectric layer to expose a portion of the first shallow trench isolation region.
  • the exposed portion of the first shallow trench isolation region is removed to define an opening.
  • the opening is filled with an active area material by selective epitaxy.
  • the thin dielectric layer is selectively removed, and a device is defined including at least a portion of the active area material.
  • a surface of the active area material may be planarized such that the surface is substantially coplanar with a surface of the thin dielectric layer.
  • a second shallow trench isolation region is defined in the semiconductor material, such that a ratio of a width of a remaining portion of the first shallow trench isolation region to a width of the second shallow trench isolation region is greater than 1, e.g., selected from a range of 1.2 to 3.
  • the invention features a structure that has a first active area including a first active area material and bound by a first shallow trench isolation region having a first width.
  • a second active area includes a second active area material and is bound by a second shallow trench isolation region having a second width.
  • a ratio of the first width to the second width is greater than 1.
  • the first active area material is a semiconductor such as Ge, SiGe, SiC, diamond, a III-V semiconductor, and/or a II- VI - A -
  • the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline material and forming a first masking layer over the substrate. A first opening is defined in the first masking layer to expose a first portion of the substrate in a first region of the substrate. The first opening is filled with a first active area material by selective epitaxy. A second opening is defined in the first masking layer to expose a second portion of the substrate in a second region of the substrate.
  • the second opening is filled with a second active area material by selective epitaxy.
  • a first device is defined that includes at least a portion of the first active area material
  • a second device is defined that includes at least a portion of the second active area material.
  • a second masking layer is formed over the first region of the substrate before filling the second opening with the second active area material, and the second masking layer is removed after the second opening is filled with the second active area material.
  • a surface of the first active area material and a surface of the second active area material is planarized after the removal of the second masking layer.
  • the invention features a structure including a first isolation region and a first active area including a first semiconductor material and bound by the first isolation region.
  • the structure also includes a second isolation region and a second active area including a second semiconductor material different from the first semiconductor material and bound by the second isolation region.
  • a surface of the first semiconductor material, a surface of the second semiconductor material, a surface of the first isolation region, and a surface of the second isolation region are all substantially coplanar.
  • the first semiconductor material has a first crystalline orientation and the second semiconductor material has a second crystalline orientation different from the first crystalline orientation.
  • the first semiconductor material includes Ge, InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, or InP, and the second semiconductor material includes Si and/or Ge.
  • the invention features a structure including an n-FET having a first channel comprising a first active area material, a first source, and a first drain region.
  • a p-FET has a second channel including a second active area material, a second source and a second drain region.
  • the first source and drain regions and second source and drain regions include the same source/drain material.
  • the first channel material is under tensile strain.
  • the second channel material is under compressive strain. At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and larger than a lattice constant of the second active area material.
  • At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material.
  • At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, the source/drain material in the second source and second drain regions is disposed on a top surface of the second active area material, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material.
  • the source/drain material includes a group IV semiconductor.
  • the source/drain material in the first source and first drain regions is disposed on a top surface of the first active area material, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and larger than a lattice constant of the second active area material.
  • the invention features a method for forming a device, the method including providing a first active area material in a first region of a substrate and providing a second active area material in a second region of the substrate.
  • a first source and a first drain are defined by the definition of a first and a second recess by removing a first portion and a second portion of the first active area material, and the deposition of a source/drain material into the first and second recesses.
  • a second source and a second drain are defined by the definition of a third and a fourth recess by removing a first portion and second portion of the second active area material, and the deposition of the source/drain material into the third and fourth recesses.
  • a first device is defined having a channel disposed in the first active area material between the first source and first drain.
  • a second device is defined having a channel disposed in the second active area material between the second source and second drain.
  • the definition of the first, second, third, and fourth recesses includes a non-selective etch that removes the first and second active area materials at approximately the same rate.
  • the definition of the first and second recesses in the first active material includes an etch that is highly selective with respect to the second active material.
  • Defining the third and fourth recesses in the second active material includes an etch that is highly selective with respect to the first active material.
  • the invention features a method for forming a structure, the method including providing a substrate, providing a first active area material over a first portion of the substrate, and providing a second active area material over a second portion of the substrate.
  • a thin layer is deposited over the first and second active area materials.
  • a gate dielectric layer is formed over the thin layer.
  • a first device such as an n-FET, including the first active area material and a second device, such as a p-FET, including the second active area material are formed.
  • the invention features a structure including a first active area including a first active area material and a second active area including a second active area material different from the first active area material.
  • a thin layer is disposed over the first active area material and the second active area material, and a gate dielectric layer is disposed over the thin layer.
  • the first active area material and second active area material each include at least one of Ge, SiGe, SiC, diamond, III-V semiconductors, and II- VI semiconductors, and the thin layer includes Si.
  • the gate dielectric layer includes at least one Of SiO 2 , SiON, Si 3 N 4 , and high-k dielectrics.
  • the invention features a method for forming a structure, the method including providing a substrate, providing a first active area material over a first portion of the substrate, and providing a second active area material over a second portion of the substrate.
  • a first gate dielectric layer is formed over the first active area material, and a second gate dielectric layer is formed over the second active area material.
  • a first electrode layer is deposited over the first and second active area materials. A portion of the first electrode layer disposed over the second active area material is removed. A second electrode layer is deposited over the first and second active areas.
  • the layers disposed over the substrate are planarized to define a co-planar surface including a surface of the first electrode layer disposed over the first active area material and a surface of the second electrode layer disposed over the second active area material.
  • a first device including the first active area material and a second device including the second active area material are formed.
  • the first device includes an n-FET.
  • the first electrode layer includes at least one of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen.
  • the second device includes a p-FET.
  • the second electrode layer includes at least one of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen.
  • the invention features a structure including a first active area including a first active area material, and a second active area including a second active area material different from the first active area material.
  • a first gate electrode material is disposed over the first active area material, and a second gate electrode material different from the first gate electrode material is disposed over the second active area material.
  • the first gate electrode material includes at least one of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen
  • the second gate electrode material includes at least one of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen.
  • the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline semiconductor material, and forming a masking layer over the substrate.
  • a window is defined in the masking layer.
  • the window is at least partially filled with a first active area material by selective epitaxy.
  • a second active area material is formed over the first active area material by selective epitaxy.
  • a device including at least a portion of the second active area material is defined.
  • the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline material, and forming a first masking layer over the substrate.
  • a first opening is defined in the first masking layer to expose a first portion of the substrate in a first region of the substrate.
  • the first opening is filled with a first active area material by selective epitaxy.
  • a first layer including a second active area material is formed over the first active area material by selective epitaxy.
  • a second opening is defined in the first masking layer to expose a second portion of the substrate in a second region of the substrate.
  • the second opening is filled with a third active area material by selective epitaxy.
  • a second layer including a fourth active area material is formed over the third active area material by selective epitaxy.
  • a first device is defined, including at least a portion of the second active area material.
  • a second device is defined, including at least a portion of the fourth active area material.
  • the first device includes a first channel with a first strain
  • the second device includes a second channel with a second strain
  • a magnitude of the first strain is approximately equal to a magnitude of the second strain
  • a sign of the first strain is opposite a sign of the second strain.
  • the magnitude of the first strain is greater than approximately 1.5%.
  • the first active area material is substantially the same as the fourth active area material.
  • the second active area material is substantially the same as the third active material.
  • the invention features a structure including a first active area material at least partially filling a window defined in a masking layer disposed over a semiconductor substrate.
  • a second active area material is disposed over the first active area material.
  • a device includes at least a portion of the second active area material.
  • the invention features a first active area material disposed in a first opening defined in a first masking layer disposed over a crystalline substrate.
  • a first layer comprising a second active area material is disposed over the first active area material.
  • a third active area material is disposed in a second opening defined in the first masking layer.
  • a second layer comprising a fourth active area material disposed over the third active area material.
  • a first device includes at least a portion of the second active area material.
  • a second device includes at least a portion of the fourth active area material.
  • the first and third active area materials are at least partially relaxed and the second and fourth active area materials are substantially strained.
  • the first and third active area materials are approximately fully relaxed.
  • the first device is a transistor including a first source region and a first drain region disposed above the first active area material.
  • the first source region and the first drain region are each disposed within the first layer.
  • the second device is a transistor including a second source region and a second drain region disposed above the third active area material.
  • the second source region and the second drain region are each disposed within the second layer.
  • the first device is an NMOS transistor and the second device is a PMOS transistor.
  • the second active area material includes a III-V semiconductor material and the fourth active area material includes a group IV semiconductor material.
  • the second active area material includes at least one of InP, InAs, InSb, and InGaAs, and the fourth active area material comprises at least one of Si and Ge.
  • 10a - 1Od, l la— Hd, 12b - 12c, and 13a- 13g are schematic cross-sectional and top views illustrating the formation of alternative semiconductor structures.
  • Figure 12a is a graph representing a correlation between band gap and mobility of several semiconductor materials.
  • Like-referenced features represent common features in corresponding drawings.
  • a substrate 100 includes a crystalline semiconductor material.
  • the substrate 100 may be, for example, a bulk silicon wafer, a bulk germanium wafer, a semiconductor-on-insulator (SOI) substrate, or a strained semiconductor-on-insulator (SSOI) substrate.
  • a masking layer 110 is formed over the substrate 100.
  • the masking layer 110 may be an insulator layer including, for example, silicon dioxide, aluminum oxide, silicon nitride, silicon carbide, or diamond, and may have a thickness ti of, e.g., 50 - 1000 nanometers (nm).
  • the masking layer 110 may be formed by a deposition method, such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), or a physical deposition method such as sputtering. Alternately, the masking layer 110 may be formed by thermal oxidation of the substrate.
  • a mask (not shown), such as a photoresist mask, is formed over the masking layer 110.
  • the mask is patterned to expose at least a portion of the masking layer 110.
  • the exposed portion of the masking layer 110 is removed by, e.g., reactive ion etching (RIE) to define a window 120 to expose a region 130 of a top surface of the substrate 100.
  • the window 120 may have a width W 1 of, e.g., 50 nm - 10 micrometers ( ⁇ m) and a length Ii of, e.g., 50 nm - 10 ⁇ m.
  • the window has a height hi equal to the thickness ti of the masking layer 110.
  • the window 120 corresponds to the active area of the electronic or opto-electronic device into which it will eventually be incorporated, and the dimensions are selected accordingly.
  • the window 120 is completely filled with an active area material 140 by selective epitaxy.
  • Selective epitaxy may be performed by a deposition method such as LPCVD, atmospheric pressure CVD (APCVD), ultra-high vacuum CVD (UHCVD), reduced pressure CVD (RPCVD), metalorganic CVD (MOCVD), atomic layer deposition (ALD), or molecular beam epitaxy (MBE).
  • the active area material 140 is formed selectively, i.e., it is formed on the crystalline semiconductor material of substrate 100 exposed by the window 120, but is not substantially formed on the masking layer 110.
  • the active area material 140 is a crystalline semiconductor material, such as a group IV element or compound, a III-V compound, or a II- VI compound
  • the group IV element may be carbon, germanium, or silicon, e.g., (110) silicon.
  • the group IV compound may include silicon, germanium, tin, or carbon, e.g., silicon germanium (SiGe).
  • the III-V compound may be, e.g., gallium arsenide (GaAs), indium arsenide (InAs), indium gallium arsenide (InGaAs), indium phosphide (InP), or indium antimonide (InSb), aluminum antimonide (AlSb), indium aluminum antimonide (InAlSb), or mixtures thereof.
  • the II- VI compound may be, e.g., zinc telluride (ZnTe), cadmium selenide (CdSe), cadmium telluride (CdTe), zinc sulfide (ZnS), or zinc selenide (ZnSe), or mixtures thereof.
  • more than one active area material 140 can be formed in window 120, i.e., two or more layers of the active area material 140 can be formed by selective epitaxy. Defects may form at an interface between an active area material and the substrate 100. These defects may be trapped by sidewalls of the masking layer 110 defining the window 120, as described in U.S. Patent Application Serial Nos. 11/436,198 and 11/436,062, incorporated herein by reference.
  • a portion 150 of the active area material 140 may extend above a top surface 160 of the masking layer 110 for various reasons, thereby forming a non-planar top surface.
  • facets may form at a vertical interface between the semiconductor active area material and the insulator.
  • a top surface of the active area material 140 may not be co-planar with a top surface of the insulator material, because of the difficulty of stopping reliably and repeatably the selective epitaxy precisely at the point that the window 120 is filled with the active area material 140.
  • a non-planar surface may present subsequent processing difficulties.
  • the portion of the active area material 140 extending above the masking layer 110 top surface may be removed by, for example, planarization, so that the active area material surface 170 is substantially coplanar with the top surface 160 of the masking layer 110, as depicted in Figure Ic.
  • the active area material surface may be planarized by, for example, a chemical-mechanical polishing (CMP) step that is selective with respect to the masking layer 110.
  • CMP chemical-mechanical polishing
  • a device is formed including at least a portion of the active area material.
  • the device may be a transistor 180, with a source 190, a drain 200, and a channel 210 disposed in the active area material.
  • Subsequent processing steps may include the formation of a gate dielectric layer 220, the deposition of a gate electrode material, and the definition of a gate 230 by, e.g., dry etching.
  • the source and drain regions may be defined by an ion implantation step.
  • An interlayer dielectric may be formed over gate, source, and drain, and contact holes may be defined.
  • a metal layer 235 may be deposited in the contact holes and over the structure.
  • the resulting transistor 180 may be, for example, a field-effect transistor (FET), such as a complementary metal - oxide - semiconductor FET (CMOSFET) or a metal- semiconductor FET (MESFET).
  • FET field-effect transistor
  • the device is a non-FET device such as a diode.
  • the diode device could be a light detecting device (photodiode), or a light emitting device (either a light-emitting diode, or a laser diode).
  • the device is a bipolar junction transistor.
  • the active area material such as a III-V or II- VI semiconductor alloy, or Ge, or a SiGe alloy
  • a first shallow trench isolation (STI) region 300 is defined in semiconductor substrate 100 in accordance with methods known to one of skill in the art.
  • the STI region 300 includes a trench 310 filled with a dielectric material 320, such as silicon dioxide or silicon nitride.
  • a thin dielectric layer 330 is formed over the substrate, including the first STI region.
  • the thin dielectric layer 330 includes the same material as the dielectric material 320.
  • the thin dielectric layer 330 includes a material different from that of the dielectric material 320.
  • the thin dielectric layer 330 may include Si 3 N 4 and may have a thickness t 2 of, e.g., approximately 100 - 200 A. If the SisN 4 dielectric layer is too thick, it may damage the underlying material, such as silicon, by inducing stress.
  • the thin dielectric layer 330 includes an SiO 2 layer disposed under the Si 3 N 4 layer. The SiO 2 layer alleviates the strain induced by the Si 3 N 4 layer, and the thickness t 2 of the Si 3 N 4 layer may be, for example, 1000 A. The thickness of the SiO 2 layer may be, for example, 100 A.
  • a window 335 is defined in the thin dielectric layer 330 to expose a portion 340 of the substrate semiconductor material bound by the first STI region 300, while protecting other substrate portions.
  • the window 335 may be defined by, e.g., a photoresist mask and a wet or a dry etch chemistry that selectively removes a portion of the thin dielectric layer 330 without attacking the underlying substrate semiconductor material.
  • the exposed semiconductor material portion 340 is removed to define an opening 350.
  • the semiconductor material portion 340 may be removed by a wet or dry etch chemistry that selectively removes the semiconductor material, e.g., Si, without attacking either the thin dielectric layer 330 or the STI trench fill material 320.
  • the semiconductor material portion 340 exposed by the window may be removed down to a level even with the bottom boundary of the first STI region 300.
  • the sidewalls 360, 360' of the opening 350 are defined by the dielectric material used to line and/or fill the first STI region 300.
  • Such a profile for opening 350 may be advantageous for avoidance of facet formation or for reduction of defects in materials subsequently deposited in opening 350.
  • the opening 350 is filled with active area material 140 by selective epitaxy.
  • a top surface 370 of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330.
  • the planarization may be performed by a CMP step, stopping at the top surface of the thin dielectric layer 330.
  • more than one active area material 140 can be formed in window 335, i.e., two or more layers of the active area material 140 can be formed by selective epitaxy.
  • the thickness t 2 of the thin dielectric layer 330 may be small.
  • the thin dielectric layer 330 is selectively removed, without substantially removing either the STI trench fill 320 or any underlying semiconductor material.
  • the thin dielectric layer 330 is Si 3 N 4 , it may be effectively removed with a heated solution comprising phosphoric acid.
  • a planarization step such as CMP, may be used to fully planarize the surface of the structure including the active area material, after the removal of the thin dielectric layer 330, such that the active area material is substantially coplanar with the first STI region and the semiconductor material of the substrate. In the instance of a relatively thick dielectric layer 330, planarization after the removal of that layer may be preferable.
  • a device such as a transistor, is defined, including at least a portion of the active area material 140.
  • the crystalline semiconductor material of the substrate may have a first crystalline orientation
  • the active area material may include a second crystalline semiconductor material having a second crystalline orientation different from the first crystalline orientation.
  • substrate 100 may include a first layer 400 having a first crystalline orientation
  • a bonded layer 410 on the first layer 400 may include a second crystalline material having a second crystalline orientation, with a bonded interface 412 disposed between the two layers.
  • the first crystalline material of the substrate and the second crystalline material may include the same material having different orientations.
  • the first layer 400 may be (100) Si and the bonded layer may be (110) Si.
  • substantially all of substrate 100 disposed below bonded layer 410 may consist of first layer 400.
  • first layer 400 may be a (100) Si wafer and bonded layer 410 may be (110) Si.
  • first STI region 300 is defined in the bonded layer 410, extending to the first layer 400.
  • first STI region 300 may extend into first layer 400.
  • the first STI region 300 bounds a portion 415 of the second crystalline semiconductor material.
  • a masking overlayer 420 is defined over the substrate 100.
  • the masking overlayer 420 may be, for example, a thin low-stress Si 3 N 4 layer with a thickness t 3 of, e.g., approximately 100 - 200 A.
  • a window 430 is defined in the masking overlayer 420 to expose the second crystalline semiconductor material portion 415 bound by the first STI region 300.
  • the exposed second crystalline semiconductor material may be removed by a dry or a wet etch to define an opening 440. This removal can be via a nonselective wet or dry etch that is timed to stop after a surface 450 of the first layer 400 is exposed.
  • this removal can be selective, via a wet etch that preferentially removes semiconductor material of a given crystalline orientation.
  • a solution of tetramethyl-ammonium-hydroxide (TMAH) at 25% concentration and 7O 0 C will etch (110) Si very quickly, at about 0.5 ⁇ m/min. Since this solution etches (100) Si at only 0.27 ⁇ m/min and (111) Si at only 0.009 ⁇ m/min, the solution can be used to easily remove (110) Si above a layer Of (IOO) OT (Hl) Si.
  • the opening 440 is filled by the first crystalline material by selective epitaxy.
  • a top surface of the selective epitaxial material 460 may be planarized such that it is substantially coplanar with the top surface of bonded layer 410.
  • the planarization may be performed by a CMP step, stopping, for example, at a top surface 470 of the masking overlayer 420.
  • the masking layer is removed, and devices are formed, having active areas comprising the first crystalline material and the second crystalline material, the two crystalline materials having different crystalline orientations.
  • the active area 480 of an n-FET is bound by the first STI region 300
  • the active area 490 of a p-FET is bound by a second STI region 300' formed in parallel to the formation of the first STI region 300.
  • (110) surface Si has much higher hole mobility than the (100) surface, but the electron mobility of the (110) surface is poorer. It may be advantageous, therefore, to provide (100) Si in the area bound by the first STI region 300 for use as the active area 480 of an n-FET, and to provide (110) Si in the area 490 bound by the second STI region 300' for use as the active area of a p-FET.
  • the bonded layer 410 includes (100) Si and is bonded to a wafer including (110) Si. After the STI region 300, 300' formation, the (100) Si is removed from the area bound by the second STI region 300'. (110) Si is selectively grown in the area bound by the second STI region for use as the active area of a p-FET, and planarized. (100) Si bound by the first STI region is used as the active area of an n-FET. [0063] In another alternative embodiment, the bonded layer 410 is (100) strained silicon, transferred from a graded buffer on a second substrate and bonded to a (110) Si wafer.
  • the (100) strained silicon is removed from the area bound by the second STI region 300'.
  • (110) Si is selectively grown in the area bound by the second STI region 300' for use as the active area of a p-FET, and planarized.
  • (100) strained Si bound by the first STI region is used as the active area of an n-FET.
  • an overlayer masking material such as masking overlayer 420 or thin dielectric layer 330 may be used to cover certain regions, e.g., p-FET regions, during the selective growth of alternative active area material on uncovered regions, e.g., n-FET regions.
  • Defining the edge of the overlayer masking material is a challenge, because the lithographic step used to define the edge requires a very fine alignment to the STI region. For example, the alignment may need to be within ⁇ lOnm. If the STI region to which the edge is aligned is too narrow in comparison to an alignment tolerance of the lithographic step, misalignment may result.
  • the first STI region 300 that bounds a region in which an active area will be defined is wider than the second STI region 300' formed on the same substrate 100.
  • STI region 300 may have a width W 2 selected from a range of 40 nm to 400 nm
  • the second STI region 300' may have a width W 3 selected from a range of 20 nm to 200 nm.
  • a ratio of the width of STI region 300 to the width of the second STI region may be greater than 1, preferably selected from the range of 1.2 to 3. The ratio may also be greater than 3, but this may create an excessive area penalty.
  • thin dielectric layer 330 is formed and a window is defined.
  • the wider STI region 300 facilitates the alignment of the photoresist mask, such that edges 500 of the thin dielectric layer 330 are more reliably defined over the STI region 300.
  • the substrate semiconductor material 510 exposed by the window is removed to define an opening (not shown).
  • the opening is filled with active area material (not shown) by selective epitaxy.
  • a top surface of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330. The planarization may be performed before and/or after the removal of the thin dielectric layer 330.
  • planarization after the removal of that layer may be preferable.
  • more than one active area material can be formed in the opening, i.e., two or more layers of the active area material can be formed by selective epitaxy.
  • first STI region 300 that is wider than an active area is defined in substrate 100 comprising a crystalline semiconductor material.
  • Thin dielectric layer 330 is formed over the substrate, and a window 600 is defined in the thin dielectric layer 330 to expose a portion of the first STI region 300.
  • the exposed portion of the first STI region is removed by, e.g., a dry etch which will not substantially etch silicon, comprising, e.g., HCl and/or HBr, to define an opening 610.
  • the opening 610 is filled with an active area material (not shown) by selective epitaxy.
  • a top surface of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330.
  • the planarization may be performed before and/or after the removal of the thin dielectric layer 330.
  • more than one active area material can be formed in the opening 610, i.e., two or more layers of the active area material can be formed by selective epitaxy.
  • the remaining insulator strips 620 around the periphery of the opening will function as isolation structures. A ratio of the width of these strips to a width of a second STI region 300' may be greater than 1. [0069]
  • the thin dielectric layer is removed 330, and a device is defined including at least a portion of the active area material.
  • the first STI region 300 has a width W 4 of, e.g., the sum of the equivalent of the active area (typically a minimum often times the gate length) and two times a trench width (each typically two times a gate length). Thus, for a subsequently formed device with a gate length of 45 nm, the first STI region 300 may have a width of 630 nm.
  • W 4 the width of the width of the active area (typically a minimum often times the gate length) and two times a trench width (each typically two times a gate length).
  • the first STI region 300 may have a width of 630 nm.
  • two or more different active area materials may be selectively grown on a single substrate.
  • a masking layer 110 is formed over substrate 100, which includes a crystalline material as described above.
  • the masking layer 110 includes a noncrystalline material, such as a dielectric, e.g., SiO 2 or SisN 4 .
  • the masking layer 110 may act as an isolation region.
  • a first opening 710 is defined in the first masking layer to expose a first portion of the substrate in a first region 720 of the substrate.
  • the first opening 710 may be defined by a wet or a dry selective etch.
  • the first opening is filled with a first active area material 730 by selective epitaxy, such that the first active area material forms in the first opening 710, but is not substantially formed on the masking layer 110.
  • more than one active area material can be formed in the first opening 710, i.e., two or more layers of the active area material can be formed by selective epitaxy.
  • a second masking layer 740 may be formed over the substrate such that the first region of the substrate is covered.
  • the second masking layer 740 includes a non-crystalline material, such as a dielectric.
  • a second opening 750 is defined in the second and first masking layer to expose a second portion of the substrate in a second region 760 of the substrate.
  • the second opening is filled with a second active area material 770 by selective epitaxy.
  • the second masking layer 740 prevents the second active area material 770 from forming by selective epitaxy on the crystalline first active area material 730.
  • the second masking layer may be removed by, e.g., a selective wet etch, after the second opening is filled with the second active area material.
  • more than one active area material can be formed in the second opening 750, i.e., two or more layers of the active area material can be formed by selective epitaxy.
  • the structure may include first isolation region 110', first active area comprising a first active area material 730 and bound by the first isolation region 110', second isolation region 110", and second active area comprising a second active area material 770 different from the first active area material and bound by the second isolation region 110".
  • a surface of the first active area material 730, a surface of the second active area material 770, a surface of the first isolation region 110', and a surface of the second isolation region 110" are all substantially coplanar.
  • Each of the first and second active area materials 730, 770 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials.
  • the first active area material has a first crystalline orientation and the second active area material has a second crystalline orientation different from the first crystalline orientation.
  • the first active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP
  • the second active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP.
  • a surface of the first active area material and a surface of the second active area material may be planarized by, e.g., CMP.
  • This CMP step enables the non-selective polishing of two different materials by polishing both the first active area material and the second active area material at the same rate.
  • a first device including the first active area is formed, and a second device including the second active area is formed.
  • two types of alternative active area materials may be formed on a substrate for use in electronic or opto-electronic devices.
  • a first active area material may be suitable for use as the active area of an n-FET, e.g., InGaAs
  • the second active area material may be suitable for use as the active area of a p-FET, e.g., Si, Ge, or SiGe.
  • no second masking layer 740 is formed prior to the formation of the second active area material.
  • the second opening 750 in the first masking layer 110 is defined and filled with the second active area material 770.
  • the second active area material 770 is formed by selective epitaxy, which results in the second active area material being formed on all exposed crystalline surfaces, including a top surface of the first active area material.
  • the structure may be planarized by, e.g., CMP.
  • CMOS circuit may have different active area materials.
  • the design and processing challenges of the incorporation of two types of active area materials in a single substrate may be reduced by the use of a single source/drain material for both n- and p-FET.
  • the described structure allows a CMOS circuit to include an n-FET with a channel having a first type of stress and a p-FET with a channel having a second type of stress, which may be beneficial for various channel material combinations.
  • two different active area materials may be preferable for the formation of different types of devices on a single substrate.
  • a CMOS device 900 may include (i) a n-FET 905 that has a first channel 910 disposed in a first active area material 915, such as Ge, GaAs, InAs, InSb, or InGaAs, a first source region 920, and a first drain region 925; and (ii) an p-FET 930 may have a second channel 940 disposed in a second active area material 935, such as SiGe, Ge, Si with a (110) surface, or InSb, a second source region 945, and a second drain region 950.
  • a first active area material 915 such as Ge, GaAs, InAs, InSb, or InGaAs
  • a first source region 920 such as Ge, GaAs, InAs, InSb, or InGaAs
  • a first source region 920 such as Ge, GaAs, InAs, InSb, or InGaAs
  • the CMOS device 900 may be formed as follows.
  • the first active area material 915 suitable for use as first channel 910 of the n-FET 905, is provided in a first region 955 of semiconductor substrate 100.
  • the second active area material 935 suitable for use as second channel 940 of the p-FET 930, is provided in a second region 960 of semiconductor substrate 100.
  • the first source and the first drain regions 920, 925 are defined by first defining a first and a second recess 965, 970 by removing a first portion and a second portion of the first active area material 915, and then depositing a source/drain material into the first and second recesses.
  • the second source and the second drain regions 945, 950 are defined by first defining a third and a fourth recess 980, 985 by removing a first portion and a second portion of the second active area material 935, and then depositing a source/drain material into the third and fourth recesses.
  • the recesses may be formed by a suitable wet or dry etch.
  • the first, second, third, and fourth recesses 965, 970, 980, 985 may be formed by a non-selective etch that removes the first and second active area materials at approximately the same rate.
  • a dry etch with a 45% SF 6 / 55% O 2 chemistry [with total gas pressure of 100 milliTorr (mTorr), RF power of 50 Watts, and total gas flow rate of 30 standard cubic centimeters per minute (seem)] may be used to etch active areas that include Si and Ge at approximately the same rate of 200 nm/min.
  • mTorr milliTorr
  • RF power 50 Watts
  • total gas flow rate of 30 standard cubic centimeters per minute
  • the first and second recesses 965, 970 in the first active material may be defined by an etch that is highly selective with respect to the second active material.
  • the third and fourth recesses 980, 985 may be removed by an etch that is highly selective with respect to the first active material.
  • the first active area material is Si and the second active area material is Ge
  • the first and second recesses 965, 970 in the Si material may be created with a SF 6 ZH 2 ZCF 4 etch chemistry.
  • the third and fourth recesses 980, 985 may then be created with an HCl etch chemistry, at a total pressure of 20 Torr and an HCl partial pressure of 208 mTorr (in H 2 carrier gas). At an etch temperature of 500 - 600 0 C, this chemistry etches Ge at 10 - 20 nmZmin with complete selectivity to Si.
  • HCl etch chemistry at a total pressure of 20 Torr and an HCl partial pressure of 208 mTorr (in H 2 carrier gas).
  • this chemistry etches Ge at 10 - 20 nmZmin with complete selectivity to Si.
  • the sourceZdrain material deposited into the first source and drain regions 920, 925 is the same as the sourceZdrain material deposited into the second source and drain regions 945, 950.
  • desired types of stress may be induced in the active area materials.
  • the first active area material may be under tensile strain
  • the second active area material may be under compressive strain.
  • the channel 940 of the p-FET 930 is compressively strained and the channel 910 of the n-FET 905 is tensilely strained; thus, for the case of channel materials comprising, for example, Si, SiGe, or Ge the carrier mobilities of both devices are enhanced.
  • the source/drain material has a lattice constant that is smaller than a lattice constant of the first active area material of the n-FET channel.
  • the n-FET channel is tensilely strained.
  • the lattice constant of the source/drain material is larger than a lattice constant of the second active area material of the p-FET channel.
  • the p-FET channel is compressively strained.
  • the first active area material may be Ge
  • the second active area material may be Si
  • the source/drain material may be SiGe.
  • the first active area material may be under compressive strain
  • the second active area material may be under tensile strain. Therefore, the channel 940 of the p-FET 930 is tensilely strained and the channel 910 of the n-FET 905 is compressively strained.
  • the source/drain material has a lattice constant that is larger than a lattice constant of the first active area material of the n-FET channel. Hence, the n-FET channel 910 is compressively strained.
  • the lattice constant of the source/drain material is smaller than a lattice constant of the second active area material of the p-FET channel 940.
  • the p-FET channel 940 is tensilely strained.
  • the first active area material may be Si
  • the second active area material may be Ge
  • the source/drain material may be SiGe.
  • the type of strain that may be beneficial for device performance may be determined from piezoresistance coefficients.
  • a relatively large positive piezoresistance coefficient is an indicator that compressive strain will enhance carrier mobilities.
  • a relatively large negative piezoresistance coefficient is an indicator that tensile strain will enhance carrier mobilities.
  • the piezoresistance coefficient for ⁇ 110> Si for p-type devices is 71.8, as measured in parallel to current flow.
  • compressive strain will help increase carrier mobilities in p-type devices having ⁇ 110>-oriented Si channels.
  • CMOS device 900 includes n-FET 905 having a ⁇ 110>-oriented Ge tensilely strained channel 910, and p-FET 930 with a ⁇ 110>-oriented Si compressively strained channel 940, and a source/drain material of Si x Ge y .
  • At least a portion of the source/drain material in the first source and first drain regions 920, 925 is disposed in a first and a second recess 965, 970, at least a portion of the source/drain material in the second source and second drain regions 945, 950 is disposed in a third and a fourth recess 980, 985, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material 915 and larger than a lattice constant of the second active area material 935.
  • a lattice constant of the source/drain material is larger than a lattice constant of the first active area material 915 and smaller than a lattice constant of the second active area material 935.
  • at least a portion of the source/drain material in the first source and first drain regions 920, 925 is disposed in a first and a second recess 965, 970, the source/drain material in the second source and second drain regions 945, 950 is disposed on a top surface of the second active area material, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material 915 and smaller than a lattice constant of the second active area material 935.
  • third and fourth recesses 980, 985 are not formed before deposition of the source/drain material because additional strain on p-FET 930 is not desired or may even deleteriously affect performance of p-FET 930.
  • the source/drain material may include a group IV semiconductor, such as Si, Ge, SiGe, or SiC.
  • the source/drain material in the first source and first drain regions 920, 925 is disposed on a top surface of the first active area material, at least a portion of the source/drain material in the second source and second drain regions 945, 950 is disposed in a third and a fourth recess 980, 985, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material 915 and larger than a lattice constant of the second active area material 935.
  • first and second recesses 965, 970 are not formed before deposition of the source/drain material because additional strain on n-FET 905 is not desired or may even deleteriously affect performance of n-FET 905.
  • a first device such as n-FET 905
  • a second device such as a p-FET 930
  • a channel 940 disposed in the second active area material between the second source 945 and the second drain 950.
  • first active area material 730 is provided over a first portion 1000 of substrate 100
  • second active area material 770 is provided over a second portion 1010 of substrate 100, as discussed above with reference to Figures 7a- 7e.
  • the first and second active area materials may each include, for example, at least one of Ge, SiGe, SiC, diamond, M-V semiconductors, and II-VI semiconductors.
  • This deposition may be selective, i.e., such that deposition occurs on the active area materials, but not on the surface of the isolation regions surrounding the active areas.
  • This thin layer 1020 may include, e.g., Si, Ge, or another material selected for its high quality interface properties with a particular gate dielectric.
  • This thin layer 1020 may be deposited by, e.g., a method such as ALD, that allows for very fine thickness control.
  • a gate dielectric layer 1030 is thereafter formed over the thin layer 1020.
  • the thin layer 1020 includes Si and the gate dielectric layer 1030 includes thermally grown SiO 2 .
  • the gate dielectric layer 1030 may include SiON, Si3N 4 , or a deposited high-k dielectric, such as hafnium oxide (HfO 2 ), aluminum oxide (AI 2 O3), or zirconium oxide (ZrO 2 ).
  • a first device 1040 is formed including the first active area material 730 and a second device 1050 is formed including the second active area material 770.
  • the first device 1040 may be an n-FET and the second device 1050 may be a p-FET.
  • first active area material 730 is provided over a first portion 720 of substrate 100
  • second active area material 770 is provided over a second portion 760 of substrate 100, as discussed above with reference to Figures 7a - 7e.
  • Gate dielectric layer 1030 is formed over the substrate 100, either directly over both of the first and second active areas, or by first forming the thin layer 1020 as described above with reference to Figures 10a - 10c.
  • a first gate dielectric layer is formed over the first active area material 730 and a second gate dielectric layer is formed over the second active area material 770.
  • the second active area material may be masked by a dielectric masking layer such as SiO 2 or SisN 4 , and the first gate dielectric layer is formed over only exposed first active area material 730 by a method such as oxidation, nitridation, or atomic layer deposition. Either before or after deposition of a gate electrode material on the first active area (described below), the masking material may be removed from the second active area and applied to the first active area.
  • the second gate dielectric layer may then be formed over only exposed second active area material 770 by a method such as oxidation, nitridation, or atomic layer deposition.
  • the masking material may then be removed.
  • the first and second gate dielectric layers are formed from the same material and are formed in a single step.
  • a first gate electrode material 1100 is deposited over the substrate 100, including over the first active area material 730.
  • the first gate electrode material 1100 may be suitable for use as a gate of an n-FET device, and may include, for example, indium (In), tantalum (Ta), zirconium (Zr), tungsten (W), molybdenum (Mo), chromium (Cr), tin (Sn), zinc (Zn), cobalt (Co), nickel (Ni), rhenium (Re), ruthenium (Ru), platinum (Pt), titanium (Ti), hafnium (Hf), alloys of one or more of the aforementioned materials, and alloys of one or more of the aforementioned materials with Si and/or nitrogen.
  • indium (In) tantalum (Ta), zirconium (Zr), tungsten (W), molybdenum (Mo), chromium (Cr), tin (Sn), zinc (Zn), cobalt (Co), nickel (Ni), rhenium (Re), ruthenium (Ru), platinum (Pt), titanium (Ti
  • the first gate electrode material 1100 disposed over the second active area material 770 may be removed, e.g., by a wet or dry etch highly selective to the underlying gate dielectric layer.
  • a suitable dry etch may be XeF 2 at 2.6 mTorr, which will etch Ti, Ta, Mo, and W but is very selective to most oxides. ⁇ See K. R. Williams, et al., "Etch Rates for Micromachining Processing — Part II," J. Micromechanical Systems, Vol. 12, No. 6, p.
  • a second gate electrode material 1110 is deposited over the substrate 100, including over the second active area material 770.
  • the second gate electrode material 1110 may be suitable for use as a gate of a p-FET device, and may include, for example, copper (Cu), Mo, Cr, W, Ru, Ta, Zr, Pt, Hf, Ti, Co, Ni, alloys of one or more of the aforementioned materials, and alloys of one or more of the aforementioned materials with Si and/or nitrogen.
  • the second gate electrode material 1110 disposed over the first active area material 730 may be removed, e.g., by a wet or dry etch highly selective to the underlying first gate electrode material.
  • a wet or dry etch solution of 10: 1 H 2 O:HF can be used to remove the Ti at a rate of approximately 1100 nm/minute, while stopping selectively on the W that is etched at a rate at least 100 times slower.
  • the second gate electrode material 1110 disposed over the first active area material 730 may be removed by a planarization step, such as CMP.
  • the first and second gate electrode layers 1100, 1110 disposed over the substrate 100 are planarized to define a co-planar surface 1130 including a surface of the first electrode layer 1100 disposed over the first active area material 730 and a surface of the second electrode layer 1110 disposed over the second active area material 770.
  • the first gate electrode material 1100 may be used as a CMP stop for the second gate electrode material.
  • a first gate may be defined over the first active area material and a second gate may be defined over the second active area material.
  • a first device, such as an n-FET is defined, including the first active area material
  • a second device such as a p-FET is defined, including the second active area material.
  • two different materials may be deposited in a single active area region to improve device characteristics.
  • certain channel materials e.g., InSb or InAs
  • a transistor 1200 having an active area including a channel material with a relatively low band gap may be susceptible to high source and/or drain 1202, 1204 leakage. Improved results may be achieved by defining an active area by the selective epitaxy of two active area materials.
  • a bi-layer structure is defined by the selective epitaxy of a lower active area material layer 1210 in a window 120 defined in the masking layer 110.
  • the lower active area material layer 1210 may include a first semiconductor material 1215 (Sl) having a relatively high band gap, such as GaSb, AlSb, CdSe, ZnTe, InAlAs, CdTe, or InAlSb.
  • a thickness U of the first active area material 1210 may be equal to depth dj of the window 120.
  • Thickness U and depth ⁇ ⁇ may be, for example, selected from a range of, e.g., 200 nm to 500 nm.
  • a planarization step e.g., CMP to planarize lower active area material layer 1210 may be performed, so that the top surface of lower active area material layer 1210 and the top surface of masking layer 110 are co-planar.
  • an upper active area material layer 1220 may be formed over the lower active area material layer 1210.
  • the upper active area material layer may include a second semiconductor material 1225 (S2) that provides a high carrier mobility, but may have a low bandgap, such as InAs or InSb.
  • a thickness ts of the upper active material layer may be, for example, selected from a range of 5 nm to 100 nm.
  • the lower active area material may be substantially uniform, e.g., ungraded, in composition.
  • a lattice mismatch between the first material Sl 1215 and the second material S2 1225 is sufficiently small to reduce the formation of defects.
  • the lattice mismatch is preferably less than about 2%.
  • a device 1250 such as a transistor, may be formed including the lower and upper active area layers 1210, 1220. Bottom portions of source and drain regions 1260, 1265 may be disposed in the lower active area layer 1210, and upper portions of the source and drain regions 1260, 1265 may be disposed in the upper active area layer 1220. Leakage current is thereby reduced while high carrier mobility is provided. [0106] Defects 1230 may form at an interface between the substrate and the semiconducting material Sl, due to lattice constant mismatch. These defects may be trapped by sidewalls of the masking layer 110 defining the window 120, as described in U.S.
  • selective epitaxy of active area materials may be used to provide channel regions with high strain levels, e.g., >1.5%, that may be used in both NMOS and PMOS devices.
  • Masking layer 110 is formed over substrate 100, which includes a crystalline material as described above.
  • the masking layer 110 includes a noncrystalline material, such as a dielectric, e.g., SiO 2 or Si 3 N 4 .
  • First opening 710 is defined in the masking layer 110, exposing a first portion 1300 of the substrate 100.
  • First active area material 730, such as Si, is grown by selective epitaxy within the first opening 710.
  • a top portion of the first active area material 730 extending above a top surface of the masking layer 110 may be planarized by, e.g., CMP. Thereafter, a first layer 1310 including second active area material 770 is selectively grown over the first active area material 730.
  • the second active area material 770 may be lattice mismatched to the first active area material 730.
  • Sii -x Ge x may be formed over relaxed Si, with x>0.35.
  • a thickness t ⁇ of the second active area material 770 is preferably less than a thickness leading to gross relaxation.
  • this relaxation thickness is approximately three to four times a critical thickness h c , i.e., a thickness at which misfit dislocations may start to appear.
  • a critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so thickness t ⁇ is preferably less than approximately 260 nm.
  • first and second active area materials 730, 770 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials.
  • the first active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP
  • the second active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP.
  • the first portion of the substrate, including first and second active area materials 730, 770, is covered with second masking layer 740.
  • the second masking layer 740 includes a noncrystalline material, such as a dielectric, e.g., SiO 2 or Si 3 N 4 .
  • Second opening 750 is defined in the masking layer 110.
  • the second opening 750 is filled with a third active area material 1315, e.g., Si 1-x Ge x with x>0.35.
  • a thickness of the third active area material 1315 is preferably greater than a thickness that leads to gross relaxation, such that the third active area material is relaxed.
  • this relaxation thickness is approximately three to four times a critical thickness h c , i.e., a thickness at which misfit dislocations may start to appear.
  • a critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so the thickness of the third active material 1315 is preferably greater than approximately 260 nm.
  • Defects may form at an interface between the second active area material 770 and the substrate 100. These defects may be trapped by sidewalls of the masking material 110 defining the opening 750, as described in U.S. Patent Application Serial Nos. 11/436, 198 and 11/436,062.
  • a top portion of the third active area material 1315 extending above the top surface of the masking layer 110 may be planarized by, e.g., CMP. Thereafter, a second layer 1320 comprising a fourth active area material 1317, e.g., Si, is selectively grown over the third active area material 1315.
  • a thickness t 7 of the fourth active area material 1317 is preferably less than a thickness that leads to gross relaxation. For tensilely strained layers, such as Si disposed over relaxed Si 1-x Ge x , the thickness t 7 is less than about 10 times the critical thickness h 0 .
  • the critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so thickness t 7 is preferably less than approximately 650 nm.
  • the second masking layer 740 is removed by, e.g., a selective wet etch.
  • Each of the third and fourth active area materials 1315, 1317 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials.
  • the third active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP
  • the fourth active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP.
  • the first and second active area materials 730, 770 deposited in the first opening 710 may be the same as the fourth and third active area materials 1317, 1315, respectively, deposited in the second opening 750.
  • This will result in equivalent strain levels for, e.g., NMOS and PMOS devices incorporating these active areas. That is, an NMOS and a
  • PMOS device will incorporate strains substantially identical in magnitude but opposite in sign.
  • Si on SiGe is used for the NMOS regions
  • SiGe on Si is used for the PMOS regions, thus providing the beneficial sign of strain for both NMOS and PMOS regions.
  • Other combinations of materials are possible.
  • tensile strain typically helps electron mobility (be it in Si, SiGe, and perhaps even SiC)
  • compressive strain typically helps PMOS mobility (be it in Si or SiGe)
  • a guideline for material selection may be that for the NMOS case the natural lattice constant of the channel material is preferably smaller than the semiconductor below, and vice versa for PMOS.
  • the lower active area material is substantially relaxed, such that the upper active area material is strained.
  • a resulting structure 1355 includes first and second active areas 1360, 1370.
  • the first active area 1360 may be suitable for formation of a PMOS device. It may include second active area material 770, e.g., highly compressively strained Si 1-x Ge x , disposed over first active area material 730, e.g., Si. The highly compressively strained material may enhance PMOS device performance by providing high hole mobility.
  • the second active area 1370 may be suitable for formation of an NMOS device. It may include fourth active area material 1317, e.g., highly tensilely strained Si, disposed over third active area material 1315, e.g., relaxed Sii -x Ge x . The highly tensilely strained material may enhance NMOS device performance by providing high electron mobility.
  • PMOS and NMOS transistors 1380, 1385 are formed over first and second active areas 1360, 1370 of the structure 1355.
  • the PMOS and NMOS transistors may have shallow source and drain regions 1390, 1390', 1395, 1395' disposed entirely in the upper active area materials, i.e., second active area material 770 and fourth active area material 1317, respectively.
  • the source and drain regions do not intersect an interface between two epitaxial layers, that may include misfit dislocations that may lead to severe, unacceptable leakage.
  • the source and drain regions 1390, 1390', 1395, 1395' may include suicide material, thereby enhancing source and drain contacts.
  • the source and drain regions may be thickened by selective epitaxy.
  • the PMOS source and drain regions may include Sii -x Ge x and the NMOS source and drain regions may include Si, thereby enhancing the respective device performances.
  • a non-uniform doping profile may be formed during the epitaxy of the layers. This doping profile may preferably have a lower concentration of dopants in a top portion of the layer(s).

Abstract

Methods of forming areas of alternative material on crystalline semiconductor substrates, and structures formed thereby. Such areas of alternative material are suitable for use as active areas in MOSFETs or other electronic or opto-electronic devices.

Description

SOLUTIONS FOR INTEGRATED CIRCUIT INTEGRATION OF ALTERNATIVE
ACTIVE AREA MATERIALS
Related Applications
[0001] This application claims the benefit of U.S. Provisional Application 60/702,363 filed July 26, 2005, the entire disclosure of which is hereby incorporated by reference.
Field of the Invention
[0002] This invention relates to methods and materials for formation of structures including alternative active area materials.
Background
[0003] As geometric scaling of Si-based MOSFET technology becomes more challenging, the heterointegration of alternate materials with Si becomes an attractive option for increasing the innate carrier mobility of MOSFET channels. Heterointegration of alternate materials has thus far been limited to the addition of SiGe alloys of small Ge content for use as source-drain contact materials or heteroj unction bipolar transistor base layers. Since such layers are only slightly lattice mismatched to Si, and since most modern Si MOSFET processes are compatible with these dilute SiGe alloys, few disruptions in the Si MOSFET integration sequence have been necessary. Unfortunately, the drive for increased carrier mobility (and concomitant device drive current) will soon necessitate the use of other, more highly lattice-mismatched materials for historically Si-based devices, requiring more disruptive changes to the traditional device integration flow.
Summary [0004] Heterointegration of alternative materials onto conventional and new substrates is desirable for various electronic and optoelectronic applications. For example, the possibility of the heterointegration of III- V, II- VI materials and/or Ge with Si is an attractive path for increasing the functionality and performance of the CMOS platform. An economical solution to heterointegration could enable new fields of applications, such as replacing Si in CMOS transistors, particularly for critical path logic devices. This could significantly lower (a) channel resistance, due to the ultra-high mobility and saturation velocity afforded by various non-Si semiconductors, and (b) source/drain resistance, due both to high mobility and to the narrower bandgap of many non-Si semiconductors, with the narrower bandgap leading to a lower electrical resistance between the metal (or metal-alloy) contact and the semiconductor. Another new application could be the combination of Si CMOS logic with ultra-high speed RF devices, such as InP- or GaAs- based high electron mobility transistor (HEMT) or heterojunction bipolar transistor (HBT) devices similar to those utilized for high-frequency applications today. Yet another application could be the combination of Si CMOS logic with opto-electronic devices, since many non-Si semiconductors have light emission and detection performance superior to Si. [0005] Selective epitaxy is an attractive path for hetero-materials integration for several reasons. First, it allows adding the non-Si semiconductor material only where it is needed, and so is only marginally disruptive to a Si CMOS process performed on the same wafer. Also, selective epitaxy may allow the combination of multiple new materials on a Si wafer, e.g., Ge for PMOS and InGaAs for NMOS. Furthermore, it is likely to be much more economical than key alternative paths, e.g., layer transfer of global hetero-epitaxial films, especially for integrating materials with large lattice mismatch. [0006] Methods of forming areas of alternative material on crystalline semiconductor substrates are described. "Alternative" as used herein refers to either a non-Si semiconductor, or Si with a different surface or rotational orientation compared to an underlying Si substrate. Such areas are suitable for use as active area in MOSFETs or other electronic or opto-electronic devices. Also, designs for aspects of MOSFET devices utilizing such non-Si active areas are provided. [0007] In an aspect, the invention features a method for forming a structure, including providing a substrate including a crystalline semiconductor material. A masking layer is formed over the substrate, and a window is defined in the masking layer. The window is filled with an active area material by selective epitaxy. A device is defined including at least a portion of the active area material. [0008] The following feature may be included. A surface of the active area material is planarized such that the surface is substantially coplanar with a surface of the masking layer. [0009] In another aspect, the invention features a method for forming a structure, including providing a substrate comprising a crystalline semiconductor material, and defining a first shallow trench isolation region in the semiconductor material. A thin dielectric layer is defined over the substrate, and a window is defined in the thin dielectric layer to expose a portion of the semiconductor material bound by the first shallow trench isolation region. The exposed portion of the semiconductor material is removed to define an opening. The opening is filled with an active area material by selective epitaxy. The thin dielectric layer is selectively removed, and a device is defined including at least a portion of the active area material. [0010] One or more of the following features may be included. A surface of the active area material is planarized such that the surface is substantially coplanar with a surface of the thin dielectric layer. The substrate includes a layer including the crystalline semiconductor material bonded to a wafer. The crystalline semiconductor material has a first crystalline orientation and the active area material includes a second crystalline semiconductor material having a second crystalline orientation different from the first crystalline orientation. [0011] A second shallow trench isolation region is defined in the semiconductor material. A ratio of a width of the first shallow trench isolation region to a width of the second shallow trench isolation region is greater than 1, e.g., selected from a range of 1.2 to 3. [0012] In another aspect, the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline semiconductor material and defining a first shallow trench isolation region in the semiconductor material. A thin dielectric layer is defined over the substrate. A window is defined in the thin dielectric layer to expose a portion of the first shallow trench isolation region. The exposed portion of the first shallow trench isolation region is removed to define an opening. The opening is filled with an active area material by selective epitaxy. The thin dielectric layer is selectively removed, and a device is defined including at least a portion of the active area material. [0013] One or more of the following features may be included. A surface of the active area material may be planarized such that the surface is substantially coplanar with a surface of the thin dielectric layer. A second shallow trench isolation region is defined in the semiconductor material, such that a ratio of a width of a remaining portion of the first shallow trench isolation region to a width of the second shallow trench isolation region is greater than 1, e.g., selected from a range of 1.2 to 3.
[0014] In another aspect, the invention features a structure that has a first active area including a first active area material and bound by a first shallow trench isolation region having a first width. A second active area includes a second active area material and is bound by a second shallow trench isolation region having a second width. A ratio of the first width to the second width is greater than 1.
[0015] One or more of the following features may be included. The first active area material is a semiconductor such as Ge, SiGe, SiC, diamond, a III-V semiconductor, and/or a II- VI - A -
semiconductor, and the second active area material includes Si. The first active area material has a first crystalline orientation and the second active area material has a second crystalline orientation different from the first crystalline orientation. The ratio of the first width to the second width is selected from a range of 1.2 to 3. [0016] In another aspect, the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline material and forming a first masking layer over the substrate. A first opening is defined in the first masking layer to expose a first portion of the substrate in a first region of the substrate. The first opening is filled with a first active area material by selective epitaxy. A second opening is defined in the first masking layer to expose a second portion of the substrate in a second region of the substrate. The second opening is filled with a second active area material by selective epitaxy. A first device is defined that includes at least a portion of the first active area material, and a second device is defined that includes at least a portion of the second active area material. [0017] One or more of the following features may be included. A second masking layer is formed over the first region of the substrate before filling the second opening with the second active area material, and the second masking layer is removed after the second opening is filled with the second active area material. A surface of the first active area material and a surface of the second active area material is planarized after the removal of the second masking layer. [0018] In another aspect, the invention features a structure including a first isolation region and a first active area including a first semiconductor material and bound by the first isolation region. The structure also includes a second isolation region and a second active area including a second semiconductor material different from the first semiconductor material and bound by the second isolation region. A surface of the first semiconductor material, a surface of the second semiconductor material, a surface of the first isolation region, and a surface of the second isolation region are all substantially coplanar.
[0019] One or more of the following features may be included. The first semiconductor material has a first crystalline orientation and the second semiconductor material has a second crystalline orientation different from the first crystalline orientation. The first semiconductor material includes Ge, InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, or InP, and the second semiconductor material includes Si and/or Ge.
[0020] In another aspect, the invention features a structure including an n-FET having a first channel comprising a first active area material, a first source, and a first drain region. A p-FET has a second channel including a second active area material, a second source and a second drain region. The first source and drain regions and second source and drain regions include the same source/drain material.
[0021] One or more of the following features may be included. The first channel material is under tensile strain. The second channel material is under compressive strain. At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and larger than a lattice constant of the second active area material.
[0022] At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material.
[0023] At least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, the source/drain material in the second source and second drain regions is disposed on a top surface of the second active area material, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material. The source/drain material includes a group IV semiconductor.
[0024] The source/drain material in the first source and first drain regions is disposed on a top surface of the first active area material, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and larger than a lattice constant of the second active area material. [0025] In another aspect, the invention features a method for forming a device, the method including providing a first active area material in a first region of a substrate and providing a second active area material in a second region of the substrate. A first source and a first drain are defined by the definition of a first and a second recess by removing a first portion and a second portion of the first active area material, and the deposition of a source/drain material into the first and second recesses. A second source and a second drain are defined by the definition of a third and a fourth recess by removing a first portion and second portion of the second active area material, and the deposition of the source/drain material into the third and fourth recesses. A first device is defined having a channel disposed in the first active area material between the first source and first drain. A second device is defined having a channel disposed in the second active area material between the second source and second drain.
[0026] One or more of the following features may be included. The definition of the first, second, third, and fourth recesses includes a non-selective etch that removes the first and second active area materials at approximately the same rate. The definition of the first and second recesses in the first active material includes an etch that is highly selective with respect to the second active material. Defining the third and fourth recesses in the second active material includes an etch that is highly selective with respect to the first active material. [0027] In another aspect, the invention features a method for forming a structure, the method including providing a substrate, providing a first active area material over a first portion of the substrate, and providing a second active area material over a second portion of the substrate. A thin layer is deposited over the first and second active area materials. A gate dielectric layer is formed over the thin layer. A first device, such as an n-FET, including the first active area material and a second device, such as a p-FET, including the second active area material are formed. [0028] In another aspect, the invention features a structure including a first active area including a first active area material and a second active area including a second active area material different from the first active area material. A thin layer is disposed over the first active area material and the second active area material, and a gate dielectric layer is disposed over the thin layer. [0029] One or more of the following features may be included. The first active area material and second active area material each include at least one of Ge, SiGe, SiC, diamond, III-V semiconductors, and II- VI semiconductors, and the thin layer includes Si. The gate dielectric layer includes at least one Of SiO2, SiON, Si3N4, and high-k dielectrics.
[0030] In another aspect, the invention features a method for forming a structure, the method including providing a substrate, providing a first active area material over a first portion of the substrate, and providing a second active area material over a second portion of the substrate. A first gate dielectric layer is formed over the first active area material, and a second gate dielectric layer is formed over the second active area material. A first electrode layer is deposited over the first and second active area materials. A portion of the first electrode layer disposed over the second active area material is removed. A second electrode layer is deposited over the first and second active areas. The layers disposed over the substrate are planarized to define a co-planar surface including a surface of the first electrode layer disposed over the first active area material and a surface of the second electrode layer disposed over the second active area material. A first device including the first active area material and a second device including the second active area material are formed.
[0031] One or more of the following features may be included. The first device includes an n-FET. The first electrode layer includes at least one of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen. The second device includes a p-FET. The second electrode layer includes at least one of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen. [0032] In another aspect, the invention features a structure including a first active area including a first active area material, and a second active area including a second active area material different from the first active area material. A first gate electrode material is disposed over the first active area material, and a second gate electrode material different from the first gate electrode material is disposed over the second active area material. The first gate electrode material includes at least one of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen, and the second gate electrode material includes at least one of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen. [0033] In another aspect, the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline semiconductor material, and forming a masking layer over the substrate. A window is defined in the masking layer. The window is at least partially filled with a first active area material by selective epitaxy. A second active area material is formed over the first active area material by selective epitaxy. A device including at least a portion of the second active area material is defined. [0034] In another aspect, the invention features a method for forming a structure, the method including providing a substrate comprising a crystalline material, and forming a first masking layer over the substrate. A first opening is defined in the first masking layer to expose a first portion of the substrate in a first region of the substrate. The first opening is filled with a first active area material by selective epitaxy. A first layer including a second active area material is formed over the first active area material by selective epitaxy. A second opening is defined in the first masking layer to expose a second portion of the substrate in a second region of the substrate. The second opening is filled with a third active area material by selective epitaxy. A second layer including a fourth active area material is formed over the third active area material by selective epitaxy. A first device is defined, including at least a portion of the second active area material. A second device is defined, including at least a portion of the fourth active area material. [0035] One or more of the following features may be included. The first device includes a first channel with a first strain, the second device includes a second channel with a second strain, a magnitude of the first strain is approximately equal to a magnitude of the second strain, and a sign of the first strain is opposite a sign of the second strain. The magnitude of the first strain is greater than approximately 1.5%. The first active area material is substantially the same as the fourth active area material. The second active area material is substantially the same as the third active material.
[0036] In another aspect, the invention features a structure including a first active area material at least partially filling a window defined in a masking layer disposed over a semiconductor substrate. A second active area material is disposed over the first active area material. A device includes at least a portion of the second active area material.
[0037] In another aspect, the invention features a first active area material disposed in a first opening defined in a first masking layer disposed over a crystalline substrate. A first layer comprising a second active area material is disposed over the first active area material. A third active area material is disposed in a second opening defined in the first masking layer. A second layer comprising a fourth active area material disposed over the third active area material. A first device includes at least a portion of the second active area material. A second device includes at least a portion of the fourth active area material.
[0038] One or more of the following features may be included. The first and third active area materials are at least partially relaxed and the second and fourth active area materials are substantially strained. The first and third active area materials are approximately fully relaxed. The first device is a transistor including a first source region and a first drain region disposed above the first active area material. The first source region and the first drain region are each disposed within the first layer. The second device is a transistor including a second source region and a second drain region disposed above the third active area material. The second source region and the second drain region are each disposed within the second layer. The first device is an NMOS transistor and the second device is a PMOS transistor. The second active area material includes a III-V semiconductor material and the fourth active area material includes a group IV semiconductor material. The second active area material includes at least one of InP, InAs, InSb, and InGaAs, and the fourth active area material comprises at least one of Si and Ge.
Brief Description of Drawings [0039] Figures Ia - Ic, 2a - 2g, 3a - 3d, 4a - 4f, 5a - 5b, 6a - 6b, 7a - 7e, 8a - 8e, 9a - 9e,
10a - 1Od, l la— Hd, 12b - 12c, and 13a- 13g are schematic cross-sectional and top views illustrating the formation of alternative semiconductor structures; and
[0040] Figure 12a is a graph representing a correlation between band gap and mobility of several semiconductor materials. [0041] Like-referenced features represent common features in corresponding drawings.
Detailed Description
[0042] Referring to Figures Ia - Ic and 2a - 2g, planar isolation regions may be utilized for the selective epitaxy of active area materials. Referring to Figures Ia, 2a, and 2b, a substrate 100 includes a crystalline semiconductor material. The substrate 100 may be, for example, a bulk silicon wafer, a bulk germanium wafer, a semiconductor-on-insulator (SOI) substrate, or a strained semiconductor-on-insulator (SSOI) substrate. A masking layer 110 is formed over the substrate 100. The masking layer 110 may be an insulator layer including, for example, silicon dioxide, aluminum oxide, silicon nitride, silicon carbide, or diamond, and may have a thickness ti of, e.g., 50 - 1000 nanometers (nm). The masking layer 110 may be formed by a deposition method, such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), or a physical deposition method such as sputtering. Alternately, the masking layer 110 may be formed by thermal oxidation of the substrate. [0043] A mask (not shown), such as a photoresist mask, is formed over the masking layer 110. The mask is patterned to expose at least a portion of the masking layer 110. The exposed portion of the masking layer 110 is removed by, e.g., reactive ion etching (RIE) to define a window 120 to expose a region 130 of a top surface of the substrate 100. The window 120 may have a width W1 of, e.g., 50 nm - 10 micrometers (μm) and a length Ii of, e.g., 50 nm - 10 μm. The window has a height hi equal to the thickness ti of the masking layer 110. The window 120 corresponds to the active area of the electronic or opto-electronic device into which it will eventually be incorporated, and the dimensions are selected accordingly.
[0044] Referring to Figures Ib, 2c, and 2d, the window 120 is completely filled with an active area material 140 by selective epitaxy. Selective epitaxy may be performed by a deposition method such as LPCVD, atmospheric pressure CVD (APCVD), ultra-high vacuum CVD (UHCVD), reduced pressure CVD (RPCVD), metalorganic CVD (MOCVD), atomic layer deposition (ALD), or molecular beam epitaxy (MBE). The active area material 140 is formed selectively, i.e., it is formed on the crystalline semiconductor material of substrate 100 exposed by the window 120, but is not substantially formed on the masking layer 110. The active area material 140 is a crystalline semiconductor material, such as a group IV element or compound, a III-V compound, or a II- VI compound The group IV element may be carbon, germanium, or silicon, e.g., (110) silicon. The group IV compound may include silicon, germanium, tin, or carbon, e.g., silicon germanium (SiGe). The III-V compound may be, e.g., gallium arsenide (GaAs), indium arsenide (InAs), indium gallium arsenide (InGaAs), indium phosphide (InP), or indium antimonide (InSb), aluminum antimonide (AlSb), indium aluminum antimonide (InAlSb), or mixtures thereof. The II- VI compound may be, e.g., zinc telluride (ZnTe), cadmium selenide (CdSe), cadmium telluride (CdTe), zinc sulfide (ZnS), or zinc selenide (ZnSe), or mixtures thereof. In some embodiments, more than one active area material 140 can be formed in window 120, i.e., two or more layers of the active area material 140 can be formed by selective epitaxy. Defects may form at an interface between an active area material and the substrate 100. These defects may be trapped by sidewalls of the masking layer 110 defining the window 120, as described in U.S. Patent Application Serial Nos. 11/436,198 and 11/436,062, incorporated herein by reference.
[0045] After the window 120 is filled with the active area material 140, a portion 150 of the active area material 140 may extend above a top surface 160 of the masking layer 110 for various reasons, thereby forming a non-planar top surface. For instance, facets may form at a vertical interface between the semiconductor active area material and the insulator. Even without facets, a top surface of the active area material 140 may not be co-planar with a top surface of the insulator material, because of the difficulty of stopping reliably and repeatably the selective epitaxy precisely at the point that the window 120 is filled with the active area material 140. A non-planar surface may present subsequent processing difficulties. [0046] The portion of the active area material 140 extending above the masking layer 110 top surface may be removed by, for example, planarization, so that the active area material surface 170 is substantially coplanar with the top surface 160 of the masking layer 110, as depicted in Figure Ic. The active area material surface may be planarized by, for example, a chemical-mechanical polishing (CMP) step that is selective with respect to the masking layer 110. [0047] Referring to Figures 2e - 2g, a device is formed including at least a portion of the active area material. The device may be a transistor 180, with a source 190, a drain 200, and a channel 210 disposed in the active area material. Subsequent processing steps may include the formation of a gate dielectric layer 220, the deposition of a gate electrode material, and the definition of a gate 230 by, e.g., dry etching. The source and drain regions may be defined by an ion implantation step. An interlayer dielectric may be formed over gate, source, and drain, and contact holes may be defined. A metal layer 235 may be deposited in the contact holes and over the structure. The resulting transistor 180 may be, for example, a field-effect transistor (FET), such as a complementary metal - oxide - semiconductor FET (CMOSFET) or a metal- semiconductor FET (MESFET). In an alternative embodiment, the device is a non-FET device such as a diode. The diode device could be a light detecting device (photodiode), or a light emitting device (either a light-emitting diode, or a laser diode). In an alternative application, the device is a bipolar junction transistor.
[0048] In an alternative embodiment, the active area material, such as a III-V or II- VI semiconductor alloy, or Ge, or a SiGe alloy, may be introduced only into selected active areas on a wafer, as follows. [0049] Referring to Figures 3a - 3d, a first shallow trench isolation (STI) region 300 is defined in semiconductor substrate 100 in accordance with methods known to one of skill in the art. The STI region 300 includes a trench 310 filled with a dielectric material 320, such as silicon dioxide or silicon nitride. A thin dielectric layer 330 is formed over the substrate, including the first STI region. In an embodiment, the thin dielectric layer 330 includes the same material as the dielectric material 320. In an alternative embodiment, the thin dielectric layer 330 includes a material different from that of the dielectric material 320. The thin dielectric layer 330 may include Si3N4 and may have a thickness t2 of, e.g., approximately 100 - 200 A. If the SisN4 dielectric layer is too thick, it may damage the underlying material, such as silicon, by inducing stress. In an embodiment, the thin dielectric layer 330 includes an SiO2 layer disposed under the Si3N4 layer. The SiO2 layer alleviates the strain induced by the Si3N4 layer, and the thickness t2 of the Si3N4 layer may be, for example, 1000 A. The thickness of the SiO2 layer may be, for example, 100 A.
[0050] Referring to Figures 3a and 3b, a window 335 is defined in the thin dielectric layer 330 to expose a portion 340 of the substrate semiconductor material bound by the first STI region 300, while protecting other substrate portions. The window 335 may be defined by, e.g., a photoresist mask and a wet or a dry etch chemistry that selectively removes a portion of the thin dielectric layer 330 without attacking the underlying substrate semiconductor material. The exposed semiconductor material portion 340 is removed to define an opening 350. The semiconductor material portion 340 may be removed by a wet or dry etch chemistry that selectively removes the semiconductor material, e.g., Si, without attacking either the thin dielectric layer 330 or the STI trench fill material 320. For example, the semiconductor material portion 340 exposed by the window may be removed down to a level even with the bottom boundary of the first STI region 300. The sidewalls 360, 360' of the opening 350 are defined by the dielectric material used to line and/or fill the first STI region 300. In an embodiment, it may be preferable to remove semiconductor material portion 340 down to a level below that of the bottom boundary of the first STI region 300, and the removal process may even undercut first STI region 300, expanding opening 350 below first STI region 300. Such a profile for opening 350 may be advantageous for avoidance of facet formation or for reduction of defects in materials subsequently deposited in opening 350.
[0051] Referring to Figure 3c, the opening 350 is filled with active area material 140 by selective epitaxy. A top surface 370 of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330. The planarization may be performed by a CMP step, stopping at the top surface of the thin dielectric layer 330. In some embodiments, more than one active area material 140 can be formed in window 335, i.e., two or more layers of the active area material 140 can be formed by selective epitaxy. [0052] As noted above, the thickness t2 of the thin dielectric layer 330 may be small. An additional benefit of the small thickness t2 is that the active area material extends only slightly above the semiconductor material of the substrate. [0053] Referring to Figure 3d, the thin dielectric layer 330 is selectively removed, without substantially removing either the STI trench fill 320 or any underlying semiconductor material. For example, in an embodiment in which the thin dielectric layer 330 is Si3N4, it may be effectively removed with a heated solution comprising phosphoric acid. Optionally, a planarization step, such as CMP, may be used to fully planarize the surface of the structure including the active area material, after the removal of the thin dielectric layer 330, such that the active area material is substantially coplanar with the first STI region and the semiconductor material of the substrate. In the instance of a relatively thick dielectric layer 330, planarization after the removal of that layer may be preferable. [0054] A device, such as a transistor, is defined, including at least a portion of the active area material 140.
[0055] Referring to Figures 4a - 4f, the crystalline semiconductor material of the substrate may have a first crystalline orientation, and the active area material may include a second crystalline semiconductor material having a second crystalline orientation different from the first crystalline orientation. Referring to Figure 4a, substrate 100 may include a first layer 400 having a first crystalline orientation, and a bonded layer 410 on the first layer 400 may include a second crystalline material having a second crystalline orientation, with a bonded interface 412 disposed between the two layers. In an embodiment, the first crystalline material of the substrate and the second crystalline material may include the same material having different orientations. For example, the first layer 400 may be (100) Si and the bonded layer may be (110) Si. In an embodiment, substantially all of substrate 100 disposed below bonded layer 410 may consist of first layer 400. For example, first layer 400 may be a (100) Si wafer and bonded layer 410 may be (110) Si. [0056] Referring to Figure 4b, first STI region 300 is defined in the bonded layer 410, extending to the first layer 400. In an embodiment, first STI region 300 may extend into first layer 400. The first STI region 300 bounds a portion 415 of the second crystalline semiconductor material.
[0057] Referring to Figure 4c, a masking overlayer 420 is defined over the substrate 100. The masking overlayer 420 may be, for example, a thin low-stress Si3N4 layer with a thickness t3 of, e.g., approximately 100 - 200 A. A window 430 is defined in the masking overlayer 420 to expose the second crystalline semiconductor material portion 415 bound by the first STI region 300. [0058] Referring to Figure 4d, the exposed second crystalline semiconductor material may be removed by a dry or a wet etch to define an opening 440. This removal can be via a nonselective wet or dry etch that is timed to stop after a surface 450 of the first layer 400 is exposed. Alternately, this removal can be selective, via a wet etch that preferentially removes semiconductor material of a given crystalline orientation. For example, a solution of tetramethyl-ammonium-hydroxide (TMAH) at 25% concentration and 7O0C will etch (110) Si very quickly, at about 0.5 μm/min. Since this solution etches (100) Si at only 0.27 μm/min and (111) Si at only 0.009 μm/min, the solution can be used to easily remove (110) Si above a layer Of (IOO) OT (Hl) Si. [0059] Referring to Figure 4e, the opening 440 is filled by the first crystalline material by selective epitaxy. A top surface of the selective epitaxial material 460 may be planarized such that it is substantially coplanar with the top surface of bonded layer 410. The planarization may be performed by a CMP step, stopping, for example, at a top surface 470 of the masking overlayer 420. [0060] Referring to Figure 4f, the masking layer is removed, and devices are formed, having active areas comprising the first crystalline material and the second crystalline material, the two crystalline materials having different crystalline orientations.
[0061] In an embodiment, the active area 480 of an n-FET is bound by the first STI region 300, and the active area 490 of a p-FET is bound by a second STI region 300' formed in parallel to the formation of the first STI region 300. (110) surface Si has much higher hole mobility than the (100) surface, but the electron mobility of the (110) surface is poorer. It may be advantageous, therefore, to provide (100) Si in the area bound by the first STI region 300 for use as the active area 480 of an n-FET, and to provide (110) Si in the area 490 bound by the second STI region 300' for use as the active area of a p-FET. [0062] In an alternative embodiment, the bonded layer 410 includes (100) Si and is bonded to a wafer including (110) Si. After the STI region 300, 300' formation, the (100) Si is removed from the area bound by the second STI region 300'. (110) Si is selectively grown in the area bound by the second STI region for use as the active area of a p-FET, and planarized. (100) Si bound by the first STI region is used as the active area of an n-FET. [0063] In another alternative embodiment, the bonded layer 410 is (100) strained silicon, transferred from a graded buffer on a second substrate and bonded to a (110) Si wafer. After STI formation, the (100) strained silicon is removed from the area bound by the second STI region 300'. (110) Si is selectively grown in the area bound by the second STI region 300' for use as the active area of a p-FET, and planarized. (100) strained Si bound by the first STI region is used as the active area of an n-FET.
[0064] As discussed above, an overlayer masking material, such as masking overlayer 420 or thin dielectric layer 330 may be used to cover certain regions, e.g., p-FET regions, during the selective growth of alternative active area material on uncovered regions, e.g., n-FET regions. Defining the edge of the overlayer masking material is a challenge, because the lithographic step used to define the edge requires a very fine alignment to the STI region. For example, the alignment may need to be within ± lOnm. If the STI region to which the edge is aligned is too narrow in comparison to an alignment tolerance of the lithographic step, misalignment may result.
[0065] Referring to Figures 5a - 5b, the first STI region 300 that bounds a region in which an active area will be defined is wider than the second STI region 300' formed on the same substrate 100. For example, STI region 300 may have a width W2 selected from a range of 40 nm to 400 nm, and the second STI region 300' may have a width W3 selected from a range of 20 nm to 200 nm. A ratio of the width of STI region 300 to the width of the second STI region may be greater than 1, preferably selected from the range of 1.2 to 3. The ratio may also be greater than 3, but this may create an excessive area penalty. [0066] As discussed above with reference to Figures 3a - 3d, thin dielectric layer 330 is formed and a window is defined. The wider STI region 300 facilitates the alignment of the photoresist mask, such that edges 500 of the thin dielectric layer 330 are more reliably defined over the STI region 300. The substrate semiconductor material 510 exposed by the window is removed to define an opening (not shown). The opening is filled with active area material (not shown) by selective epitaxy. A top surface of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330. The planarization may be performed before and/or after the removal of the thin dielectric layer 330. In the instance of a relatively thick dielectric layer 330, planarization after the removal of that layer may be preferable. In some embodiments, more than one active area material can be formed in the opening, i.e., two or more layers of the active area material can be formed by selective epitaxy.
[0067] Referring to Figures 6a - 6b, in an alternative embodiment, first STI region 300 that is wider than an active area is defined in substrate 100 comprising a crystalline semiconductor material. Thin dielectric layer 330 is formed over the substrate, and a window 600 is defined in the thin dielectric layer 330 to expose a portion of the first STI region 300. The exposed portion of the first STI region is removed by, e.g., a dry etch which will not substantially etch silicon, comprising, e.g., HCl and/or HBr, to define an opening 610. The opening 610 is filled with an active area material (not shown) by selective epitaxy. A top surface of the active area material may be planarized such that the active area material is substantially coplanar with a top surface of the thin dielectric layer 330. The planarization may be performed before and/or after the removal of the thin dielectric layer 330. In some embodiments, more than one active area material can be formed in the opening 610, i.e., two or more layers of the active area material can be formed by selective epitaxy.
[0068] The remaining insulator strips 620 around the periphery of the opening will function as isolation structures. A ratio of the width of these strips to a width of a second STI region 300' may be greater than 1. [0069] The thin dielectric layer is removed 330, and a device is defined including at least a portion of the active area material.
[0070] The first STI region 300 has a width W4 of, e.g., the sum of the equivalent of the active area (typically a minimum often times the gate length) and two times a trench width (each typically two times a gate length). Thus, for a subsequently formed device with a gate length of 45 nm, the first STI region 300 may have a width of 630 nm. [0071] Referring to Figures 7a - 7e, two or more different active area materials may be selectively grown on a single substrate. A masking layer 110 is formed over substrate 100, which includes a crystalline material as described above. The masking layer 110 includes a noncrystalline material, such as a dielectric, e.g., SiO2 or SisN4. The masking layer 110 may act as an isolation region. A first opening 710 is defined in the first masking layer to expose a first portion of the substrate in a first region 720 of the substrate. The first opening 710 may be defined by a wet or a dry selective etch.
[0072] The first opening is filled with a first active area material 730 by selective epitaxy, such that the first active area material forms in the first opening 710, but is not substantially formed on the masking layer 110. In some embodiments, more than one active area material can be formed in the first opening 710, i.e., two or more layers of the active area material can be formed by selective epitaxy. [0073] A second masking layer 740 may be formed over the substrate such that the first region of the substrate is covered. The second masking layer 740 includes a non-crystalline material, such as a dielectric. A second opening 750 is defined in the second and first masking layer to expose a second portion of the substrate in a second region 760 of the substrate. The second opening is filled with a second active area material 770 by selective epitaxy. The second masking layer 740 prevents the second active area material 770 from forming by selective epitaxy on the crystalline first active area material 730. The second masking layer may be removed by, e.g., a selective wet etch, after the second opening is filled with the second active area material. In some embodiments, more than one active area material can be formed in the second opening 750, i.e., two or more layers of the active area material can be formed by selective epitaxy.
[0074] Thus, the structure may include first isolation region 110', first active area comprising a first active area material 730 and bound by the first isolation region 110', second isolation region 110", and second active area comprising a second active area material 770 different from the first active area material and bound by the second isolation region 110". Preferably, a surface of the first active area material 730, a surface of the second active area material 770, a surface of the first isolation region 110', and a surface of the second isolation region 110" are all substantially coplanar.
[0075] Each of the first and second active area materials 730, 770 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials. In an embodiment, the first active area material has a first crystalline orientation and the second active area material has a second crystalline orientation different from the first crystalline orientation. Li some embodiments, the first active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP, and the second active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP. [0076] After the removal of the second masking layer, a surface of the first active area material and a surface of the second active area material may be planarized by, e.g., CMP. This CMP step enables the non-selective polishing of two different materials by polishing both the first active area material and the second active area material at the same rate.
[0077] By further processing, a first device including the first active area is formed, and a second device including the second active area is formed. [0078] In this way, two types of alternative active area materials may be formed on a substrate for use in electronic or opto-electronic devices. For example, a first active area material may be suitable for use as the active area of an n-FET, e.g., InGaAs, and the second active area material may be suitable for use as the active area of a p-FET, e.g., Si, Ge, or SiGe. [0079] Referring also to Figures 8a-8e, in an embodiment, no second masking layer 740 is formed prior to the formation of the second active area material. Thus, after the filling of the first opening with the first active area material 730, the second opening 750 in the first masking layer 110 is defined and filled with the second active area material 770. The second active area material 770 is formed by selective epitaxy, which results in the second active area material being formed on all exposed crystalline surfaces, including a top surface of the first active area material. After formation of the second active area material 770, the structure may be planarized by, e.g., CMP.
[0080] Referring to Figure 9a - 9e, different transistors in a CMOS circuit may have different active area materials. The design and processing challenges of the incorporation of two types of active area materials in a single substrate may be reduced by the use of a single source/drain material for both n- and p-FET. Moreover, the described structure allows a CMOS circuit to include an n-FET with a channel having a first type of stress and a p-FET with a channel having a second type of stress, which may be beneficial for various channel material combinations. [0081] As explained above, in some instances, two different active area materials may be preferable for the formation of different types of devices on a single substrate. For example, a CMOS device 900 may include (i) a n-FET 905 that has a first channel 910 disposed in a first active area material 915, such as Ge, GaAs, InAs, InSb, or InGaAs, a first source region 920, and a first drain region 925; and (ii) an p-FET 930 may have a second channel 940 disposed in a second active area material 935, such as SiGe, Ge, Si with a (110) surface, or InSb, a second source region 945, and a second drain region 950.
[0082] The CMOS device 900 may be formed as follows. The first active area material 915, suitable for use as first channel 910 of the n-FET 905, is provided in a first region 955 of semiconductor substrate 100. The second active area material 935, suitable for use as second channel 940 of the p-FET 930, is provided in a second region 960 of semiconductor substrate 100. [0083] Referring to Figures 9c and 9d, the first source and the first drain regions 920, 925 are defined by first defining a first and a second recess 965, 970 by removing a first portion and a second portion of the first active area material 915, and then depositing a source/drain material into the first and second recesses. Subsequently or, preferably in parallel, the second source and the second drain regions 945, 950 are defined by first defining a third and a fourth recess 980, 985 by removing a first portion and a second portion of the second active area material 935, and then depositing a source/drain material into the third and fourth recesses. [0084] The recesses may be formed by a suitable wet or dry etch. For example, the first, second, third, and fourth recesses 965, 970, 980, 985 may be formed by a non-selective etch that removes the first and second active area materials at approximately the same rate. For example, a dry etch with a 45% SF6/ 55% O2 chemistry [with total gas pressure of 100 milliTorr (mTorr), RF power of 50 Watts, and total gas flow rate of 30 standard cubic centimeters per minute (seem)] may be used to etch active areas that include Si and Ge at approximately the same rate of 200 nm/min. (See A. Campo, et al, "Comparison of Etching Processes of Silicon and Germanium in SF6-O2 Radio-Frequency Plasma," J. Vac. Sci Technol. B, Vol. 13, No. 2, p. 235, 1995, incorporated herein by reference.) Alternatively, the first and second recesses 965, 970 in the first active material may be defined by an etch that is highly selective with respect to the second active material. Similarly, the third and fourth recesses 980, 985 may be removed by an etch that is highly selective with respect to the first active material. For example, if the first active area material is Si and the second active area material is Ge, the first and second recesses 965, 970 in the Si material may be created with a SF6ZH2ZCF4 etch chemistry. Gas flows of 35 seem for SF6, 65 seem for H2, and 80 seem for CF4, a pressure of 150 mTorr and RF power of 50 Watts enable this chemistry to etch Si at approximately 10 nmZmin with complete selectivity to Ge. (See G. S. Oehrlein, et al., "Studies of the Reactive Ion Etching of SiGe alloys," J. Vac. Sci. Technol. A, Vol. 9, No. 3, p. 768, 1991, incorporated herein by reference.) The third and fourth recesses 980, 985 may then be created with an HCl etch chemistry, at a total pressure of 20 Torr and an HCl partial pressure of 208 mTorr (in H2 carrier gas). At an etch temperature of 500 - 600 0C, this chemistry etches Ge at 10 - 20 nmZmin with complete selectivity to Si. (See Y. Bogumilowicz, et al., "Chemical Vapour Etching of Si, SiGe and Ge with HCl; Applications to the Formation of Thin Relaxed SiGe Buffers and to the Revelation of Threading Dislocations," Semicond. Sci. Technol., Vol. 20, p. 127, 2005, incorporated herein by reference.)
[0085] The sourceZdrain material deposited into the first source and drain regions 920, 925 is the same as the sourceZdrain material deposited into the second source and drain regions 945, 950. By selecting source/drain materials with appropriate lattice constants, desired types of stress may be induced in the active area materials. For example, the first active area material may be under tensile strain, and/or the second active area material may be under compressive strain. In an embodiment, the channel 940 of the p-FET 930 is compressively strained and the channel 910 of the n-FET 905 is tensilely strained; thus, for the case of channel materials comprising, for example, Si, SiGe, or Ge the carrier mobilities of both devices are enhanced. Here, the source/drain material has a lattice constant that is smaller than a lattice constant of the first active area material of the n-FET channel. Hence, the n-FET channel is tensilely strained. The lattice constant of the source/drain material is larger than a lattice constant of the second active area material of the p-FET channel. Hence, the p-FET channel is compressively strained. More particularly, the first active area material may be Ge, the second active area material may be Si, and the source/drain material may be SiGe.
[0086] In another embodiment, the first active area material may be under compressive strain, and/or the second active area material may be under tensile strain. Therefore, the channel 940 of the p-FET 930 is tensilely strained and the channel 910 of the n-FET 905 is compressively strained. Here, the source/drain material has a lattice constant that is larger than a lattice constant of the first active area material of the n-FET channel. Hence, the n-FET channel 910 is compressively strained. The lattice constant of the source/drain material is smaller than a lattice constant of the second active area material of the p-FET channel 940. Hence, the p-FET channel 940 is tensilely strained. More particularly, the first active area material may be Si, the second active area material may be Ge, and the source/drain material may be SiGe. [0087] The type of strain that may be beneficial for device performance may be determined from piezoresistance coefficients. A relatively large positive piezoresistance coefficient is an indicator that compressive strain will enhance carrier mobilities. A relatively large negative piezoresistance coefficient is an indicator that tensile strain will enhance carrier mobilities. For example, the piezoresistance coefficient for <110> Si for p-type devices is 71.8, as measured in parallel to current flow. Hence compressive strain will help increase carrier mobilities in p-type devices having <110>-oriented Si channels. The piezoresistance coefficient for <110> Ge for n- type devices is -72, as measured in parallel to current flow. Hence, tensile strain will help increase carrier mobilities in n-type devices having <110>-oriented Ge channels. In an embodiment, CMOS device 900 includes n-FET 905 having a <110>-oriented Ge tensilely strained channel 910, and p-FET 930 with a <110>-oriented Si compressively strained channel 940, and a source/drain material of SixGey.
[0088] In an embodiment, at least a portion of the source/drain material in the first source and first drain regions 920, 925 is disposed in a first and a second recess 965, 970, at least a portion of the source/drain material in the second source and second drain regions 945, 950 is disposed in a third and a fourth recess 980, 985, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material 915 and larger than a lattice constant of the second active area material 935. In another embodiment, a lattice constant of the source/drain material is larger than a lattice constant of the first active area material 915 and smaller than a lattice constant of the second active area material 935. [0089] Alternatively, at least a portion of the source/drain material in the first source and first drain regions 920, 925 is disposed in a first and a second recess 965, 970, the source/drain material in the second source and second drain regions 945, 950 is disposed on a top surface of the second active area material, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material 915 and smaller than a lattice constant of the second active area material 935. In this case, third and fourth recesses 980, 985 are not formed before deposition of the source/drain material because additional strain on p-FET 930 is not desired or may even deleteriously affect performance of p-FET 930. The source/drain material may include a group IV semiconductor, such as Si, Ge, SiGe, or SiC. [0090] In yet another embodiment, the source/drain material in the first source and first drain regions 920, 925 is disposed on a top surface of the first active area material, at least a portion of the source/drain material in the second source and second drain regions 945, 950 is disposed in a third and a fourth recess 980, 985, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material 915 and larger than a lattice constant of the second active area material 935. In this case, first and second recesses 965, 970 are not formed before deposition of the source/drain material because additional strain on n-FET 905 is not desired or may even deleteriously affect performance of n-FET 905.
[0091] With subsequent processing, a first device, such as n-FET 905, is defined, having a channel 910 disposed in the first active area material between the first source 920 and the first drain 925. Also, a second device, such as a p-FET 930, is defined, having a channel 940 disposed in the second active area material between the second source 945 and the second drain 950. [0092] The design and fabrication of CMOS devices having different n- and p- active areas may be simplified by the use of a single gate dielectric material for both n- and p-type devices. [0093] Referring to Figures 10a - 1Od, first active area material 730 is provided over a first portion 1000 of substrate 100, and second active area material 770 is provided over a second portion 1010 of substrate 100, as discussed above with reference to Figures 7a- 7e. The first and second active area materials may each include, for example, at least one of Ge, SiGe, SiC, diamond, M-V semiconductors, and II-VI semiconductors.
[0094] A very thin layer 1020, .e.g., of thickness t = 5 - 2θA is deposited over both the first and the second active area materials so that a top surface of each active material includes the same material. This deposition may be selective, i.e., such that deposition occurs on the active area materials, but not on the surface of the isolation regions surrounding the active areas. This thin layer 1020 may include, e.g., Si, Ge, or another material selected for its high quality interface properties with a particular gate dielectric. This thin layer 1020 may be deposited by, e.g., a method such as ALD, that allows for very fine thickness control. A gate dielectric layer 1030 is thereafter formed over the thin layer 1020. In an embodiment, the thin layer 1020 includes Si and the gate dielectric layer 1030 includes thermally grown SiO2. Alternatively, the gate dielectric layer 1030 may include SiON, Si3N4, or a deposited high-k dielectric, such as hafnium oxide (HfO2), aluminum oxide (AI2O3), or zirconium oxide (ZrO2). [0095] A first device 1040 is formed including the first active area material 730 and a second device 1050 is formed including the second active area material 770. For example, the first device 1040 may be an n-FET and the second device 1050 may be a p-FET.
[0096] The performance, design and fabrication of CMOS devices having different n- and p- active areas may be improved by the use of different gate electrode materials for the n- and p- type devices, selected, e.g., in view of work-function considerations. [0097] Referring to Figures 1 Ia - 1 Id, first active area material 730 is provided over a first portion 720 of substrate 100, and second active area material 770 is provided over a second portion 760 of substrate 100, as discussed above with reference to Figures 7a - 7e. Gate dielectric layer 1030 is formed over the substrate 100, either directly over both of the first and second active areas, or by first forming the thin layer 1020 as described above with reference to Figures 10a - 10c. In an embodiment, a first gate dielectric layer is formed over the first active area material 730 and a second gate dielectric layer is formed over the second active area material 770. For example, the second active area material may be masked by a dielectric masking layer such as SiO2 or SisN4, and the first gate dielectric layer is formed over only exposed first active area material 730 by a method such as oxidation, nitridation, or atomic layer deposition. Either before or after deposition of a gate electrode material on the first active area (described below), the masking material may be removed from the second active area and applied to the first active area. The second gate dielectric layer may then be formed over only exposed second active area material 770 by a method such as oxidation, nitridation, or atomic layer deposition. The masking material may then be removed. In an embodiment, the first and second gate dielectric layers are formed from the same material and are formed in a single step. [0098] A first gate electrode material 1100 is deposited over the substrate 100, including over the first active area material 730. The first gate electrode material 1100 may be suitable for use as a gate of an n-FET device, and may include, for example, indium (In), tantalum (Ta), zirconium (Zr), tungsten (W), molybdenum (Mo), chromium (Cr), tin (Sn), zinc (Zn), cobalt (Co), nickel (Ni), rhenium (Re), ruthenium (Ru), platinum (Pt), titanium (Ti), hafnium (Hf), alloys of one or more of the aforementioned materials, and alloys of one or more of the aforementioned materials with Si and/or nitrogen. Referring to Figure 1 Ib, the first gate electrode material 1100 disposed over the second active area material 770 may be removed, e.g., by a wet or dry etch highly selective to the underlying gate dielectric layer. A suitable dry etch may be XeF2 at 2.6 mTorr, which will etch Ti, Ta, Mo, and W but is very selective to most oxides. {See K. R. Williams, et al., "Etch Rates for Micromachining Processing — Part II," J. Micromechanical Systems, Vol. 12, No. 6, p. 761, 2003, incorporated herein by reference.) [0099] Referring to Figure 1 Ic, a second gate electrode material 1110 is deposited over the substrate 100, including over the second active area material 770. The second gate electrode material 1110 may be suitable for use as a gate of a p-FET device, and may include, for example, copper (Cu), Mo, Cr, W, Ru, Ta, Zr, Pt, Hf, Ti, Co, Ni, alloys of one or more of the aforementioned materials, and alloys of one or more of the aforementioned materials with Si and/or nitrogen. The second gate electrode material 1110 disposed over the first active area material 730 may be removed, e.g., by a wet or dry etch highly selective to the underlying first gate electrode material. For example, for the case of a first gate electrode material of tungsten (W) and a second gate electrode material of titanium (Ti), a room-temperature wet etch solution of 10: 1 H2O:HF can be used to remove the Ti at a rate of approximately 1100 nm/minute, while stopping selectively on the W that is etched at a rate at least 100 times slower. {See K.R.
Williams et al., "Etch rates for micromachining processes" J. Microelectromech. Syst. 5, p256- 269, 1996, incorporated herein by reference). Alternatively, the second gate electrode material 1110 disposed over the first active area material 730 may be removed by a planarization step, such as CMP.
[0100] Referring to Figure 1 Id, the first and second gate electrode layers 1100, 1110 disposed over the substrate 100 are planarized to define a co-planar surface 1130 including a surface of the first electrode layer 1100 disposed over the first active area material 730 and a surface of the second electrode layer 1110 disposed over the second active area material 770. In an embodiment, the first gate electrode material 1100 may be used as a CMP stop for the second gate electrode material. [0101] A first gate may be defined over the first active area material and a second gate may be defined over the second active area material. A first device, such as an n-FET is defined, including the first active area material, and a second device, such as a p-FET is defined, including the second active area material.
[0102] Referring to Figures 12a-12c, two different materials may be deposited in a single active area region to improve device characteristics. Referring to Figure 12a, certain channel materials, e.g., InSb or InAs, may enable high carrier mobility but may also have low band gaps that may cause high source or drain diode leakage. Referring to Figure 12b, a transistor 1200 having an active area including a channel material with a relatively low band gap may be susceptible to high source and/or drain 1202, 1204 leakage. Improved results may be achieved by defining an active area by the selective epitaxy of two active area materials. [0103] Referring to Figure 12c, using a selective epitaxy process analogous to the processes described with reference to Figures Ia-Ic and 2a-2g, a bi-layer structure is defined by the selective epitaxy of a lower active area material layer 1210 in a window 120 defined in the masking layer 110. The lower active area material layer 1210 may include a first semiconductor material 1215 (Sl) having a relatively high band gap, such as GaSb, AlSb, CdSe, ZnTe, InAlAs, CdTe, or InAlSb. A thickness U of the first active area material 1210 may be equal to depth dj of the window 120. Thickness U and depth ά\ may be, for example, selected from a range of, e.g., 200 nm to 500 nm. A planarization step (e.g., CMP) to planarize lower active area material layer 1210 may be performed, so that the top surface of lower active area material layer 1210 and the top surface of masking layer 110 are co-planar. Subsequently, an upper active area material layer 1220 may be formed over the lower active area material layer 1210. The upper active area material layer may include a second semiconductor material 1225 (S2) that provides a high carrier mobility, but may have a low bandgap, such as InAs or InSb. A thickness ts of the upper active material layer may be, for example, selected from a range of 5 nm to 100 nm. In an embodiment, the lower active area material may be substantially uniform, e.g., ungraded, in composition.
[0104] In a preferred embodiment, a lattice mismatch between the first material Sl 1215 and the second material S2 1225 is sufficiently small to reduce the formation of defects. The lattice mismatch is preferably less than about 2%. Some possible material combinations are given below in Table 1 :
Figure imgf000026_0001
Table 1: Sl and S2 material combinations
[0105] A device 1250, such as a transistor, may be formed including the lower and upper active area layers 1210, 1220. Bottom portions of source and drain regions 1260, 1265 may be disposed in the lower active area layer 1210, and upper portions of the source and drain regions 1260, 1265 may be disposed in the upper active area layer 1220. Leakage current is thereby reduced while high carrier mobility is provided. [0106] Defects 1230 may form at an interface between the substrate and the semiconducting material Sl, due to lattice constant mismatch. These defects may be trapped by sidewalls of the masking layer 110 defining the window 120, as described in U.S. Patent Application Serial No.11/436,198 and 11/436,062, [0107] Referring to Figures 13a-13e, in some embodiments, selective epitaxy of active area materials may be used to provide channel regions with high strain levels, e.g., >1.5%, that may be used in both NMOS and PMOS devices. Masking layer 110 is formed over substrate 100, which includes a crystalline material as described above. The masking layer 110 includes a noncrystalline material, such as a dielectric, e.g., SiO2 or Si3N4. First opening 710 is defined in the masking layer 110, exposing a first portion 1300 of the substrate 100. First active area material 730, such as Si, is grown by selective epitaxy within the first opening 710. A top portion of the first active area material 730 extending above a top surface of the masking layer 110 may be planarized by, e.g., CMP. Thereafter, a first layer 1310 including second active area material 770 is selectively grown over the first active area material 730. The second active area material 770 may be lattice mismatched to the first active area material 730. For example, Sii-xGex may be formed over relaxed Si, with x>0.35. A thickness tβ of the second active area material 770 is preferably less than a thickness leading to gross relaxation. In compressive layers, such as Sii-xGex formed over Si, this relaxation thickness is approximately three to four times a critical thickness hc, i.e., a thickness at which misfit dislocations may start to appear. For example, for x = 0.35 the critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so thickness tβ is preferably less than approximately 260 nm.
[0108] Each of the first and second active area materials 730, 770 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials. In some embodiments, the first active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP, and the second active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP. [0109] The first portion of the substrate, including first and second active area materials 730, 770, is covered with second masking layer 740. The second masking layer 740 includes a noncrystalline material, such as a dielectric, e.g., SiO2 or Si3N4. Second opening 750 is defined in the masking layer 110. The second opening 750 is filled with a third active area material 1315, e.g., Si1-xGex with x>0.35. A thickness of the third active area material 1315 is preferably greater than a thickness that leads to gross relaxation, such that the third active area material is relaxed. In compressive layers, such as Si1-xGex formed over a Si substrate, this relaxation thickness is approximately three to four times a critical thickness hc, i.e., a thickness at which misfit dislocations may start to appear. For example, for x = 0.35 the critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so the thickness of the third active material 1315 is preferably greater than approximately 260 nm. Defects may form at an interface between the second active area material 770 and the substrate 100. These defects may be trapped by sidewalls of the masking material 110 defining the opening 750, as described in U.S. Patent Application Serial Nos. 11/436, 198 and 11/436,062. A top portion of the third active area material 1315 extending above the top surface of the masking layer 110 may be planarized by, e.g., CMP. Thereafter, a second layer 1320 comprising a fourth active area material 1317, e.g., Si, is selectively grown over the third active area material 1315. A thickness t7 of the fourth active area material 1317 is preferably less than a thickness that leads to gross relaxation. For tensilely strained layers, such as Si disposed over relaxed Si1-xGex, the thickness t7 is less than about 10 times the critical thickness h0. For example, for x = 0.35, the critical thickness at which misfit dislocations may start to appear is approximately 65 nm, so thickness t7 is preferably less than approximately 650 nm. The second masking layer 740 is removed by, e.g., a selective wet etch. [0110] Each of the third and fourth active area materials 1315, 1317 may be formed in the manner discussed above with respect to active area material 140, and may include any of the listed materials. In some embodiments, the third active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP, and the fourth active area material may include at least one of a group IV element or compound, such as Si or Ge or SiGe, or a III-V compound, such as InAs, InGaAs, InSb, AlSb, InAlSb, GaAs, and InP.
[0111] In an embodiment, the first and second active area materials 730, 770 deposited in the first opening 710 may be the same as the fourth and third active area materials 1317, 1315, respectively, deposited in the second opening 750. This will result in equivalent strain levels for, e.g., NMOS and PMOS devices incorporating these active areas. That is, an NMOS and a
PMOS device will incorporate strains substantially identical in magnitude but opposite in sign. In a preferred embodiment, Si on SiGe is used for the NMOS regions, and SiGe on Si is used for the PMOS regions, thus providing the beneficial sign of strain for both NMOS and PMOS regions. Other combinations of materials are possible. Based on the observation that tensile strain typically helps electron mobility (be it in Si, SiGe, and perhaps even SiC) and compressive strain (in the direction of current flow) typically helps PMOS mobility (be it in Si or SiGe), a guideline for material selection may be that for the NMOS case the natural lattice constant of the channel material is preferably smaller than the semiconductor below, and vice versa for PMOS. Prefeably, for both the NMOS and PMOS cases, the lower active area material is substantially relaxed, such that the upper active area material is strained.
[0112] Referring to Figure 13e, a resulting structure 1355 includes first and second active areas 1360, 1370. The first active area 1360 may be suitable for formation of a PMOS device. It may include second active area material 770, e.g., highly compressively strained Si1-xGex, disposed over first active area material 730, e.g., Si. The highly compressively strained material may enhance PMOS device performance by providing high hole mobility. The second active area 1370 may be suitable for formation of an NMOS device. It may include fourth active area material 1317, e.g., highly tensilely strained Si, disposed over third active area material 1315, e.g., relaxed Sii-xGex. The highly tensilely strained material may enhance NMOS device performance by providing high electron mobility.
[0113] Referring to Figure 13f, PMOS and NMOS transistors 1380, 1385 are formed over first and second active areas 1360, 1370 of the structure 1355. In an embodiment, the PMOS and NMOS transistors may have shallow source and drain regions 1390, 1390', 1395, 1395' disposed entirely in the upper active area materials, i.e., second active area material 770 and fourth active area material 1317, respectively. Thus, the source and drain regions do not intersect an interface between two epitaxial layers, that may include misfit dislocations that may lead to severe, unacceptable leakage. [0114] Referring to Figure 13g, the source and drain regions 1390, 1390', 1395, 1395' may include suicide material, thereby enhancing source and drain contacts. The source and drain regions may be thickened by selective epitaxy. In an embodiment, the PMOS source and drain regions may include Sii-xGex and the NMOS source and drain regions may include Si, thereby enhancing the respective device performances. [0115] In all of the structures and devices discussed above, a non-uniform doping profile may be formed during the epitaxy of the layers. This doping profile may preferably have a lower concentration of dopants in a top portion of the layer(s).
[0116] The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims

What is claimed is:
1. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline semiconductor material; forming a masking layer over the substrate; defining a window in the masking layer; filling the window with an active area material by selective epitaxy; and defining a device including at least a portion of the active area material.
2. The method of claim 1, further comprising planarizing a surface of the active area material such that the surface is substantially coplanar with a surface of the masking layer.
3. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline semiconductor material; defining a first shallow trench isolation region in the semiconductor material; defining a thin dielectric layer over the substrate; defining a window in the thin dielectric layer to expose a portion of the semiconductor material bound by the first shallow trench isolation region; removing the exposed portion of the semiconductor material to define an opening; filling the opening with an active area material by selective epitaxy; selectively removing the thin dielectric layer; and defining a device including at least a portion of the active area material.
4. The method of claim 3, further comprising planarizing a surface of the active area material such that the surface is substantially coplanar with a surface of the thin dielectric layer.
5. The method of claim 3, wherein the substrate includes a layer bonded to a wafer and the layer comprises the crystalline semiconductor material.
6. The method of claim 5, wherein the crystalline semiconductor material has a first crystalline orientation and the active area material comprises a second crystalline semiconductor material having a second crystalline orientation different from the first crystalline orientation.
7. The method of claim 3, further comprising defining a second shallow trench isolation region in the semiconductor material.
8. The method of claim 7, wherein a ratio of a width of the first shallow trench isolation region to a width of the second shallow trench isolation region is greater than 1.
9. The method of claim 8, wherein the ratio of widths is selected from a range of 1.2 to 3.
10. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline semiconductor material; defining a first shallow trench isolation region in the semiconductor material; defining a thin dielectric layer over the substrate; defining a window in the thin dielectric layer to expose a portion of the first shallow trench isolation region; removing the exposed portion of the first shallow trench isolation region to define an opening; filling the opening with an active area material by selective epitaxy; selectively removing the thin dielectric layer; and defining a device including at least a portion of the active area material.
11. The method of claim 10, further comprising planarizing a surface of the active area material such that the surface is substantially coplanar with a surface of the thin dielectric layer.
12. The method of claim 10, further comprising defining a second shallow trench isolation region in the semiconductor material, wherein a ratio of a width of a remaining portion of the first shallow trench isolation region to a width of the second shallow trench isolation region is greater than 1.
13. The method of claim 12, wherein the ratio is selected from a range of 1.2 to 3.
14. A structure comprising: a first active area comprising a first active area material and bound by a first shallow trench isolation region having a first width; and a second active area comprising a second active area material and bound by a second shallow trench isolation region having a second width, wherein a ratio of the first width to the second width is greater than 1.
15. The structure of claim 14, wherein the first active area material is a semiconductor selected from the group consisting of Ge, SiGe, SiC, diamond, III-V semiconductors, and II- VI semiconductors, and the second active area material comprises Si.
16. The structure of claim 14, wherein the first active area material has a first crystalline orientation and the second active area material has a second crystalline orientation different from the first crystalline orientation.
17. The structure of claim 14, wherein the ratio of the first width to the second width is selected from a range of 1.2 to 3.
18. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline material; forming a first masking layer over the substrate; defining a first opening in the first masking layer to expose a first portion of the substrate in a first region of the substrate; filling the first opening with a first active area material by selective epitaxy; defining a second opening in the first masking layer to expose a second portion of the substrate in a second region of the substrate; filling the second opening with a second active area material by selective epitaxy; defining a first device including at least a portion of the first active area material; and defining a second device including at least a portion of the second active area material.
19. The method of claim 18, further comprising: forming a second masking layer over the first region of the substrate before filling the second opening with the second active area material; and removing the second masking layer after filling the second opening with the second active area material.
20. The method of claim 19, further comprising planarizing a surface of the first active area material and a surface of the second active area material after the removal of the second masking layer.
21. A structure comprising: a first isolation region; a first active area comprising a first semiconductor material and bound by the first isolation region; a second isolation region; and a second active area comprising a second semiconductor material different from the first semiconductor material and bound by the second isolation region, wherein a surface of the first semiconductor material, a surface of the second semiconductor material, a surface of the first isolation region, and a surface of the second isolation region are all substantially coplanar.
22. The structure of claim 21, wherein the first semiconductor material has a first crystalline orientation and the second semiconductor material has a second crystalline orientation different from the first crystalline orientation.
23. The structure of claim 21, wherein the first semiconductor material is selected from the group consisting of Ge, InAs, InGaAs, InSb, GaAs, and InP, and the second semiconductor material comprises at least one of Si and Ge.
24. A structure comprising: an n-FET having a first channel comprising a first active area material, and a first source and a first drain region; and a p-FET having a second channel comprising a second active area material, and a second source and a second drain region, wherein the first source and drain regions and second source and drain regions comprise the same source/drain material.
25. The structure of claim 24, wherein the first channel is under tensile strain.
26. The structure of claim 24, wherein the second channel is under compressive strain.
27. The structure of claim 24, wherein at least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and larger than a lattice constant of the second active area material.
28. The structure of claim 24, wherein at least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material.
29. The structure of claim 24, wherein at least a portion of the source/drain material in the first source and first drain regions is disposed in a first and a second recess, the source/drain material in the second source and second drain regions is disposed on a top surface of the second active area material, and a lattice constant of the source/drain material is smaller than a lattice constant of the first active area material and smaller than a lattice constant of the second active area material.
30. The structure of claim 29, wherein the source/drain material comprises a group IV semiconductor.
31. The structure of claim 24, wherein the source/drain material in the first source and first drain regions is disposed on a top surface of the first active area material, at least a portion of the source/drain material in the second source and second drain regions is disposed in a third and a fourth recess, and a lattice constant of the source/drain material is larger than a lattice constant of the first active area material and larger than a lattice constant of the second active area material.
32. A method for forming a device, the method comprising: providing a first active area material in a first region of a substrate; providing a second active area material in a second region of the substrate; defining a first source and a first drain by defining a first and a second recess by removing a first portion and a second portion of the first active area material, and depositing a source/drain material into the first and second recesses; defining a second source and a second drain by defining a third and a fourth recess by removing a first portion and second portion of the second active area material, and depositing the source/drain material into the third and fourth recesses; defining a first device having a channel disposed in the first active area material between the first source and first drain; and defining a second device having a channel disposed in the second active area material between the second source and second drain.
33. The method of claim 32, wherein defining the first, second, third, and fourth recesses comprises a non-selective etch that removes the first and second active area materials at approximately the same rate.
34. The method of claim 32, wherein defining the first and second recesses in the first active material comprises an etch that is highly selective with respect to the second active material.
35. The method of claim 32, wherein defining the third and fourth recesses in the second active material comprises an etch that is highly selective with respect to the first active material.
36. A method for forming a structure, the method comprising: providing a substrate; providing a first active area material over a first portion of the substrate; providing a second active area material over a second portion of the substrate; depositing a thin layer over the first and second active area materials; forming a gate dielectric layer over the thin layer; forming a first device comprising the first active area material; and forming a second device comprising the second active area material.
37. The method of claim 36, wherein the first device comprises an n-FET and the second device comprises a p-FET.
38. A structure comprising: a first active area comprising a first active area material; a second active area comprising a second active area material different from the first active area material; a thin layer disposed over the first active area material and the second active area material; and a gate dielectric layer disposed over the thin layer.
39. The structure of claim 38, wherein the first active area material and second active area material are each selected from the group consisting of Ge, SiGe, SiC, diamond, III-V semiconductors, and II-VI semiconductors, and the thin layer comprises Si.
40. The structure of claim 38, wherein the gate dielectric layer is selected from the group consisting of SiO2, SiON, Si3N4, and high-k dielectrics.
41. A method for forming a structure, the method comprising: providing a substrate; providing a first active area material over a first portion of the substrate; providing a second active area material over a second portion of the substrate; forming a first gate dielectric layer over the first active area material; forming a second gate dielectric layer over the second active area material; depositing a first electrode layer over the first and second active area materials; removing a portion of the first electrode layer disposed over the second active area material; depositing a second electrode layer over the first and second active areas; planarizing the layers disposed over the substrate to define a co-planar surface including a surface of the first electrode layer disposed over the first active area material and a surface of the second electrode layer disposed over the second active area material; forming a first device comprising the first active area material; and forming a second device comprising the second active area material.
42. The method of claim 41, wherein the first device comprises an n-FET.
43. The method of claim 42, wherein the first electrode layer comprises at least one material selected from the group consisting of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen.
44. The method of claim 41, wherein the second device comprises a p-FET.
45. The method of claim 44, wherein the second electrode layer comprises at least one material selected from the group consisting of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen.
46. A structure comprising: a first active area comprising a first active area material; a second active area comprising a second active area material different from the first active area material; a first gate electrode material disposed over the first active area material; and a second gate electrode material different from the first gate electrode material disposed over the second active area material, wherein the first gate electrode material comprises at least one material selected from the group consisting of indium, tantalum, zirconium, tungsten, molybdenum, chromium, tin, zinc, cobalt, nickel, rhenium, ruthenium, platinum, titanium, hafnium, silicon, and nitrogen and the second gate electrode material comprises at least one material selected from the group consisting of copper, molybdenum, chromium, tungsten, ruthenium, tantalum, zirconium, platinum, hafnium, titanium, cobalt, nickel, silicon, and nitrogen.
47. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline semiconductor material; forming a masking layer over the substrate; defining a window in the masking layer; at least partially filling the window with a first active area material by selective epitaxy; forming a second active area material over the first active area material by selective epitaxy; and defining a device including at least a portion of the second active area material.
48. A method for forming a structure, the method comprising: providing a substrate comprising a crystalline material; forming a first masking layer over the substrate; defining a first opening in the first masking layer to expose a first portion of the substrate in a first region of the substrate; filling the first opening with a first active area material by selective epitaxy; forming a first layer comprising a second active area material over the first active area material by selective epitaxy; defining a second opening in the first masking layer to expose a second portion of the substrate in a second region of the substrate; filling the second opening with a third active area material by selective epitaxy; forming a second layer comprising a fourth active area material over the second active area material by selective epitaxy; defining a first device including at least a portion of the second active area material; and defining a second device including at least a portion of the fourth active area material.
49. The method of claim 48, wherein the first device comprises a first channel with a first strain, the second device comprises a second channel with a second strain, a magnitude of the first strain is approximately equal to a magnitude of the second strain, and a sign of the first strain is opposite a sign of the second strain.
50. The method of claim 49, wherein the magnitude of the first strain is greater than approximately 1.5%.
51. The method of claim 48, wherein the first active area material is substantially the same as the fourth active area material.
52. The method of claim 51, wherein the second active area material is substantially the same as the third active material.
53. The method of claim 48, wherein the second active area material is substantially the same as the third active material.
54. A structure comprising: a first active area material at least partially filling a window defined in a masking layer disposed over a semiconductor substrate; a second active area material disposed over the first active area material; and a device including at least a portion of the second active area material.
55. A structure comprising: a first active area material disposed in a first opening defined in a first masking layer disposed over a crystalline substrate; a first layer comprising a second active area material disposed over the first active area material; a third active area material disposed in a second opening defined in the first masking layer; a second layer comprising a fourth active area material disposed over the third active area material; a first device including at least a portion of the second active area material; and a second device including at least a portion of the fourth active area material.
56. The structure of claim 55, wherein the first and third active area materials are at least partially relaxed and the second and fourth active area materials are substantially strained.
57. The structure of claim 56, wherein the first and third active area materials are approximately fully relaxed.
58. The structure of claim 55, wherein the first device is a transistor comprising a first source region and a first drain region disposed above the first active area material.
59. The structure of claim 58, wherein the first source region and the first drain region are each disposed within the first layer.
60. The structure of claim 58, wherein the second device is a transistor comprising a second source region and a second drain region disposed above the third active area material.
61. The structure of claim 60, wherein the second source region and the second drain region are each disposed within the second layer.
62. The structure of claim 60, wherein the first device is an NMOS transistor and the second device is a PMOS transistor.
63. The structure of claim 55, wherein the second active area material comprises a III-V semiconductor material and the fourth active area material comprises a group IV semiconductor material.
64. The structure of claim 63, wherein the second active area material comprises at least one of InP, InAs, InSb, and InGaAs and the fourth active area material comprises at least one of Si and Ge.
PCT/US2006/029247 2005-07-26 2006-07-26 Solutions integrated circuit integration of alternative active area materials WO2007014294A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP06800414A EP1911086A2 (en) 2005-07-26 2006-07-26 Solutions integrated circuit integration of alternative active area materials
JP2008524156A JP5481067B2 (en) 2005-07-26 2006-07-26 Solutions for the integration of alternative active area materials into integrated circuits
KR1020087004519A KR101329388B1 (en) 2005-07-26 2006-07-26 Solutions for integrated circuit integration of alternative active area materials
CN200680032320.9A CN101268547B (en) 2005-07-26 2006-07-26 Solutions for integrated circuit integration of alternative active area materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70236305P 2005-07-26 2005-07-26
US60/702,363 2005-07-26

Publications (2)

Publication Number Publication Date
WO2007014294A2 true WO2007014294A2 (en) 2007-02-01
WO2007014294A3 WO2007014294A3 (en) 2007-08-30

Family

ID=37573678

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/029247 WO2007014294A2 (en) 2005-07-26 2006-07-26 Solutions integrated circuit integration of alternative active area materials

Country Status (6)

Country Link
US (1) US7626246B2 (en)
EP (1) EP1911086A2 (en)
JP (1) JP5481067B2 (en)
KR (1) KR101329388B1 (en)
CN (1) CN101268547B (en)
WO (1) WO2007014294A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227432A (en) * 2007-03-16 2008-09-25 Furukawa Electric Co Ltd:The Nitride compound semiconductor element and its production process
JP2009027163A (en) * 2007-07-11 2009-02-05 Commiss Energ Atom Method for manufacturing semiconductor-on-insulator (soi) substrate for microelectronics and optoelectronics
JP2010536170A (en) * 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Semiconductor structure and manufacturing method
US7906381B2 (en) 2007-07-05 2011-03-15 Stmicroelectronics S.A. Method for integrating silicon-on-nothing devices with standard CMOS devices
EP2317554A1 (en) * 2009-10-30 2011-05-04 Imec Method of manufacturing an integrated semiconductor substrate structure
JP2011515871A (en) * 2008-03-25 2011-05-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor device having tensile strain and / or compressive strain, manufacturing method and design structure
EP2299490A3 (en) * 2009-09-18 2013-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. A semiconductor device comprising a honeycomb heteroepitaxy
US8994070B2 (en) 2008-07-01 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
EP2947693A1 (en) * 2014-05-22 2015-11-25 IMEC vzw Method of Producing a III-V Fin Structure
DE112008000094B4 (en) * 2007-03-15 2016-09-15 Intel Corporation CMOS device with dual epi-channels and self-aligned contacts and manufacturing processes
FR3048815A1 (en) * 2016-03-14 2017-09-15 Commissariat Energie Atomique METHOD FOR CO-REALIZATION OF ZONES UNDER DIFFERENT UNIAXIAL CONSTRAINTS
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
DE102005047081B4 (en) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070252216A1 (en) * 2006-04-28 2007-11-01 Infineon Technologies Ag Semiconductor device and a method of manufacturing such a semiconductor device
US7636610B2 (en) * 2006-07-19 2009-12-22 Envisiontec Gmbh Method and device for producing a three-dimensional object, and computer and data carrier useful therefor
JP2008060408A (en) * 2006-08-31 2008-03-13 Toshiba Corp Semiconductor device
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008039534A2 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US20080108190A1 (en) * 2006-11-06 2008-05-08 General Electric Company SiC MOSFETs and self-aligned fabrication methods thereof
US8377812B2 (en) * 2006-11-06 2013-02-19 General Electric Company SiC MOSFETs and self-aligned fabrication methods thereof
KR100850859B1 (en) * 2006-12-21 2008-08-06 동부일렉트로닉스 주식회사 Image Sensor and The Fabricating Method thereof
US7466008B2 (en) * 2007-03-13 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. BiCMOS performance enhancement by mechanical uniaxial strain and methods of manufacture
US20080242062A1 (en) * 2007-03-31 2008-10-02 Lucent Technologies Inc. Fabrication of diverse structures on a common substrate through the use of non-selective area growth techniques
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
KR101093588B1 (en) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-junction solar cells
US8053810B2 (en) * 2007-09-07 2011-11-08 International Business Machines Corporation Structures having lattice-mismatched single-crystalline semiconductor layers on the same lithographic level and methods of manufacturing the same
US8043947B2 (en) * 2007-11-16 2011-10-25 Texas Instruments Incorporated Method to eliminate re-crystallization border defects generated during solid phase epitaxy of a DSB substrate
WO2009115859A1 (en) * 2008-03-19 2009-09-24 S.O.I. Tec Silicon On Insulator Technologies Substrates for monolithic optical circuits and electronic circuits
US20090261346A1 (en) * 2008-04-16 2009-10-22 Ding-Yuan Chen Integrating CMOS and Optical Devices on a Same Chip
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8981427B2 (en) * 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8524562B2 (en) * 2008-09-16 2013-09-03 Imec Method for reducing Fermi-Level-Pinning in a non-silicon channel MOS device
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8401774B2 (en) * 2009-01-23 2013-03-19 The Boeing Company System and method for detecting and preventing runway incursion, excursion and confusion
DE102009006886B4 (en) * 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Reducing thickness variations of a threshold adjusting semiconductor alloy by reducing the patterning non-uniformities before depositing the semiconductor alloy
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8174074B2 (en) * 2009-09-01 2012-05-08 International Business Machines Corporation Asymmetric embedded silicon germanium field effect transistor
US8367485B2 (en) * 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US20110062492A1 (en) * 2009-09-15 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. High-Quality Hetero-Epitaxy by Using Nano-Scale Epitaxy Technology
SG169922A1 (en) * 2009-09-24 2011-04-29 Taiwan Semiconductor Mfg Improved semiconductor sensor structures with reduced dislocation defect densities and related methods for the same
US9601328B2 (en) 2009-10-08 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Growing a III-V layer on silicon using aligned nano-scale patterns
DE102009051520B4 (en) * 2009-10-31 2016-11-03 X-Fab Semiconductor Foundries Ag Process for the production of silicon semiconductor wafers with layer structures for the integration of III-V semiconductor devices
TWI419324B (en) * 2009-11-27 2013-12-11 Univ Nat Chiao Tung Semiconductor device with group iii-v channel and group iv source-drain and method for manufacturing the same
JP2011146691A (en) * 2009-12-15 2011-07-28 Sumitomo Chemical Co Ltd Semiconductor substrate, semiconductor device, and production method for the semiconductor substrate
US8541252B2 (en) * 2009-12-17 2013-09-24 Lehigh University Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US8242510B2 (en) * 2010-01-28 2012-08-14 Intersil Americas Inc. Monolithic integration of gallium nitride and silicon devices and circuits, structure and method
US20110303981A1 (en) * 2010-06-09 2011-12-15 International Business Machines Corporation Scheme to Enable Robust Integration of Band Edge Devices and Alternatives Channels
US8535544B2 (en) 2010-07-26 2013-09-17 International Business Machines Corporation Structure and method to form nanopore
US9184050B2 (en) * 2010-07-30 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted trapezoidal recess for epitaxial growth
US8138068B2 (en) * 2010-08-11 2012-03-20 International Business Machines Corporation Method to form nanopore array
US8389348B2 (en) * 2010-09-14 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming SiC crystalline on Si substrates to allow integration of GaN and Si electronics
JP2012089612A (en) * 2010-10-18 2012-05-10 Sumitomo Electric Ind Ltd Composite substrate having silicon carbide substrate
US20120168823A1 (en) * 2010-12-31 2012-07-05 Zhijiong Luo Semiconductor device and method for manufacturing the same
JP5922219B2 (en) * 2011-03-31 2016-05-24 アイメックImec Method for growing single crystal tin-containing semiconductor material
US8912055B2 (en) * 2011-05-03 2014-12-16 Imec Method for manufacturing a hybrid MOSFET device and hybrid MOSFET obtainable thereby
US8455883B2 (en) * 2011-05-19 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stressed semiconductor device and method of manufacturing
CN102810501B (en) * 2011-05-31 2017-05-24 中国科学院微电子研究所 Well region forming method and semiconductor substrate
TW201306236A (en) * 2011-06-10 2013-02-01 Sumitomo Chemical Co Semiconductor device, semiconductor substrate, method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
TWI550828B (en) * 2011-06-10 2016-09-21 住友化學股份有限公司 Semiconductor device, semiconductor substrate, method for making a semiconductor substrate, and method for making a semiconductor device
CN102842614B (en) * 2011-06-20 2015-11-25 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
CN102891178A (en) 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacturing method thereof
US8853035B2 (en) 2011-10-05 2014-10-07 International Business Machines Corporation Tucked active region without dummy poly for performance boost and variation reduction
US8815712B2 (en) * 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
JP5695614B2 (en) * 2012-08-22 2015-04-08 ルネサスエレクトロニクス株式会社 Semiconductor device
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
KR102083495B1 (en) 2013-01-07 2020-03-02 삼성전자 주식회사 Complementary Metal Oxide Semiconductor device, optical apparatus comprising CMOS device and method of manufacturing the same
US9978650B2 (en) 2013-03-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor channel
CN103311305B (en) * 2013-06-13 2016-01-20 中国科学院半导体研究所 Silicon-based lateral nano wire multiple-gate transistor and preparation method thereof
EP2869331A1 (en) * 2013-10-29 2015-05-06 IMEC vzw Episubstrates for selective area growth of group iii-v material and a method for fabricating a group iii-v material on a silicon substrate
US9165929B2 (en) * 2013-11-25 2015-10-20 Qualcomm Incorporated Complementarily strained FinFET structure
US9177967B2 (en) 2013-12-24 2015-11-03 Intel Corporation Heterogeneous semiconductor material integration techniques
US9228994B1 (en) 2014-08-06 2016-01-05 Globalfoundries Inc. Nanochannel electrode devices
US20170278944A1 (en) * 2014-09-19 2017-09-28 Intel Corporation Apparatus and methods to create a doped sub-structure to reduce leakage in microelectronic transistors
KR101657872B1 (en) * 2014-12-23 2016-09-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Improved transistor channel
US9418841B2 (en) 2014-12-30 2016-08-16 International Business Machines Corporation Type III-V and type IV semiconductor device formation
EP3308403A4 (en) * 2015-06-12 2019-01-09 Intel Corporation Techniques for forming transistors on the same die with varied channel materials
US11025029B2 (en) 2015-07-09 2021-06-01 International Business Machines Corporation Monolithic III-V nanolaser on silicon with blanket growth
US9613871B2 (en) 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
KR20200072985A (en) * 2018-12-13 2020-06-23 삼성전자주식회사 An integrated circuit including a plurality of transistors and a method of manufacturing the same
FR3091622B1 (en) * 2019-01-09 2021-09-17 Soitec Silicon On Insulator Optoelectronic semiconductor structure comprising a p-type injection layer based on InGaN

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010045604A1 (en) * 2000-05-25 2001-11-29 Hitachi, Ltd. Semiconductor device and manufacturing method
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
WO2005013375A1 (en) * 2003-08-05 2005-02-10 Fujitsu Limited Semiconductor device and its manufacturing method
US20050073028A1 (en) * 2003-10-02 2005-04-07 Grant John M. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US20050104156A1 (en) * 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4727047A (en) 1980-04-10 1988-02-23 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4651179A (en) 1983-01-21 1987-03-17 Rca Corporation Low resistance gallium arsenide field effect transistor
US4545109A (en) 1983-01-21 1985-10-08 Rca Corporation Method of making a gallium arsenide field effect transistor
US5091333A (en) 1983-09-12 1992-02-25 Massachusetts Institute Of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
US4860081A (en) 1984-06-28 1989-08-22 Gte Laboratories Incorporated Semiconductor integrated circuit structure with insulative partitions
US4551394A (en) 1984-11-26 1985-11-05 Honeywell Inc. Integrated three-dimensional localized epitaxial growth of Si with localized overgrowth of GaAs
US4774205A (en) 1986-06-13 1988-09-27 Massachusetts Institute Of Technology Monolithic integration of silicon and gallium arsenide devices
JPS6381855A (en) 1986-09-25 1988-04-12 Mitsubishi Electric Corp Manufacture of hetero junction bipolar transistor
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5281283A (en) 1987-03-26 1994-01-25 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
US5166767A (en) 1987-04-14 1992-11-24 National Semiconductor Corporation Sidewall contact bipolar transistor with controlled lateral spread of selectively grown epitaxial layer
US4826784A (en) 1987-11-13 1989-05-02 Kopin Corporation Selective OMCVD growth of compound semiconductor materials on silicon substrates
US5032893A (en) 1988-04-01 1991-07-16 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor eiplayers
US5156995A (en) 1988-04-01 1992-10-20 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor epilayers
US5238869A (en) 1988-07-25 1993-08-24 Texas Instruments Incorporated Method of forming an epitaxial layer on a heterointerface
JPH0262090A (en) * 1988-08-29 1990-03-01 Matsushita Electric Ind Co Ltd Manufacture of optical semiconductor device
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4948456A (en) 1989-06-09 1990-08-14 Delco Electronics Corporation Confined lateral selective epitaxial growth
US5098850A (en) * 1989-06-16 1992-03-24 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
US5256594A (en) 1989-06-16 1993-10-26 Intel Corporation Masking technique for depositing gallium arsenide on silicon
US5093699A (en) 1990-03-12 1992-03-03 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
US5158907A (en) 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5105247A (en) 1990-08-03 1992-04-14 Cavanaugh Marion E Quantum field effect device with source extension region formed under a gate and between the source and drain regions
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5091767A (en) 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
JPH04299569A (en) 1991-03-27 1992-10-22 Nec Corp Manufacture of sois and transistor and its manufacture
JP3058954B2 (en) 1991-09-24 2000-07-04 ローム株式会社 Method of manufacturing semiconductor device having growth layer on insulating layer
JP2773487B2 (en) 1991-10-15 1998-07-09 日本電気株式会社 Tunnel transistor
JPH05121317A (en) 1991-10-24 1993-05-18 Rohm Co Ltd Method for forming soi structure
ATE169350T1 (en) 1992-12-04 1998-08-15 Siemens Ag METHOD FOR PRODUCING A LATERALLY DEFINED, SINGLE CRYSTALS AREA BY MEANS OF SELECTIVE EPITAXY AND ITS APPLICATION FOR PRODUCING A BIPOLAR TRANSISTOR AND A MOS TRANSISTOR
JP3319472B2 (en) 1992-12-07 2002-09-03 富士通株式会社 Semiconductor device and manufacturing method thereof
US5295150A (en) 1992-12-11 1994-03-15 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
DE69406049T2 (en) 1993-06-04 1998-04-16 Sharp Kk Light-emitting semiconductor device with a third confinement layer
JP3748905B2 (en) 1993-08-27 2006-02-22 三洋電機株式会社 Quantum effect device
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH07115137A (en) * 1993-10-20 1995-05-02 Hitachi Ltd Manufacture of semiconductor device
JPH0851109A (en) 1994-04-11 1996-02-20 Texas Instr Inc <Ti> Epitaxial silicon growth inside window of wafer patterned byoxide
US6011271A (en) 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5710436A (en) 1994-09-27 1998-01-20 Kabushiki Kaisha Toshiba Quantum effect device
JPH08306700A (en) 1995-04-27 1996-11-22 Nec Corp Semiconductor device and its manufacture
TW304310B (en) 1995-05-31 1997-05-01 Siemens Ag
US5621227A (en) 1995-07-18 1997-04-15 Discovery Semiconductors, Inc. Method and apparatus for monolithic optoelectronic integrated circuit using selective epitaxy
JP3500820B2 (en) * 1995-11-24 2004-02-23 ソニー株式会社 Method for manufacturing semiconductor device
DE69609313T2 (en) 1995-12-15 2001-02-01 Koninkl Philips Electronics Nv SEMICONDUCTOR FIELD EFFECT ARRANGEMENT WITH A SIGE LAYER
JP3719618B2 (en) 1996-06-17 2005-11-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP3260660B2 (en) 1996-08-22 2002-02-25 株式会社東芝 Semiconductor device and manufacturing method thereof
US6191432B1 (en) 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5825049A (en) 1996-10-09 1998-10-20 Sandia Corporation Resonant tunneling device with two-dimensional quantum well emitter and base layers
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
JP3853905B2 (en) 1997-03-18 2006-12-06 株式会社東芝 Quantum effect device and device using BL tunnel element
US6015979A (en) 1997-08-29 2000-01-18 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
CA2311132C (en) * 1997-10-30 2004-12-07 Sumitomo Electric Industries, Ltd. Gan single crystalline substrate and method of producing the same
JP3180743B2 (en) 1997-11-17 2001-06-25 日本電気株式会社 Nitride compound semiconductor light emitting device and method of manufacturing the same
US6265289B1 (en) * 1998-06-10 2001-07-24 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth from sidewalls into trenches, and gallium nitride semiconductor structures fabricated thereby
US6252261B1 (en) 1998-09-30 2001-06-26 Nec Corporation GaN crystal film, a group III element nitride semiconductor wafer and a manufacturing process therefor
JP3702700B2 (en) 1999-03-31 2005-10-05 豊田合成株式会社 Group III nitride compound semiconductor device and method for manufacturing the same
US6803598B1 (en) 1999-05-07 2004-10-12 University Of Delaware Si-based resonant interband tunneling diodes and method of making interband tunneling diodes
TW461096B (en) 1999-05-13 2001-10-21 Hitachi Ltd Semiconductor memory
US6214653B1 (en) 1999-06-04 2001-04-10 International Business Machines Corporation Method for fabricating complementary metal oxide semiconductor (CMOS) devices on a mixed bulk and silicon-on-insulator (SOI) substrate
EP1192647B1 (en) 1999-06-25 2010-10-20 Massachusetts Institute Of Technology Oxidation of silicon on germanium
US6228691B1 (en) 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
GB9919479D0 (en) * 1999-08-17 1999-10-20 Imperial College Island arrays
JP2001160594A (en) * 1999-09-20 2001-06-12 Toshiba Corp Semiconductor device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6812053B1 (en) 1999-10-14 2004-11-02 Cree, Inc. Single step pendeo- and lateral epitaxial overgrowth of Group III-nitride epitaxial layers with Group III-nitride buffer layer and resulting structures
DE60036594T2 (en) 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Field effect semiconductor device
US6521514B1 (en) 1999-11-17 2003-02-18 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
JP2001176805A (en) 1999-12-16 2001-06-29 Sony Corp Method for manufacturing crystal of nitride-based iii-v- group compound. nitride-based iii-v-group crystal substrate, nitride-based iii-v-group compound crystal film, and method for manufacturing device
DE10005023C2 (en) * 2000-02-04 2002-11-21 Feintool Internat Holding Ag L Precision cutting press
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6841808B2 (en) 2000-06-23 2005-01-11 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
US6579463B1 (en) 2000-08-18 2003-06-17 The Regents Of The University Of Colorado Tunable nanomasks for pattern transfer and nanocluster array formation
US7301199B2 (en) * 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
US6407425B1 (en) 2000-09-21 2002-06-18 Texas Instruments Incorporated Programmable neuron MOSFET on SOI
US6380590B1 (en) 2001-02-22 2002-04-30 Advanced Micro Devices, Inc. SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
JP3679720B2 (en) 2001-02-27 2005-08-03 三洋電機株式会社 Nitride semiconductor device and method for forming nitride semiconductor
JP2002270516A (en) 2001-03-07 2002-09-20 Nec Corp Growing method of iii group nitride semiconductor, film thereof and semiconductor element using the same
JP3705142B2 (en) 2001-03-27 2005-10-12 ソニー株式会社 Nitride semiconductor device and manufacturing method thereof
JP3956637B2 (en) * 2001-04-12 2007-08-08 ソニー株式会社 Nitride semiconductor crystal growth method and semiconductor element formation method
GB0111207D0 (en) 2001-05-08 2001-06-27 Btg Int Ltd A method to produce germanium layers
US6784074B2 (en) 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
JP3819730B2 (en) 2001-05-11 2006-09-13 三洋電機株式会社 Nitride-based semiconductor device and method for forming nitride semiconductor
JP3785970B2 (en) 2001-09-03 2006-06-14 日本電気株式会社 Method for manufacturing group III nitride semiconductor device
US20030064535A1 (en) * 2001-09-28 2003-04-03 Kub Francis J. Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US6710368B2 (en) 2001-10-01 2004-03-23 Ken Scott Fisher Quantum tunneling transistor
JP2003142728A (en) * 2001-11-02 2003-05-16 Sharp Corp Manufacturing method of semiconductor light emitting element
US6835246B2 (en) 2001-11-16 2004-12-28 Saleem H. Zaidi Nanostructures for hetero-expitaxial growth on silicon substrates
US6576532B1 (en) 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
TWI278995B (en) 2002-01-28 2007-04-11 Nichia Corp Nitride semiconductor element with a supporting substrate and a method for producing a nitride semiconductor element
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
KR101167590B1 (en) 2002-04-15 2012-07-27 더 리전츠 오브 더 유니버시티 오브 캘리포니아 Non-polar A-plane Gallium Nitride Thin Films Grown by Metalorganic Chemical Vapor Deposition
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
CA2489567A1 (en) 2002-06-19 2003-12-31 Massachusetts Institute Of Technology Ge photodetectors
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US7012298B1 (en) * 2002-06-21 2006-03-14 Advanced Micro Devices, Inc. Non-volatile memory device
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6982204B2 (en) 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US7375385B2 (en) * 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7015497B1 (en) * 2002-08-27 2006-03-21 The Ohio State University Self-aligned and self-limited quantum dot nanoswitches and methods for making same
JP3506694B1 (en) * 2002-09-02 2004-03-15 沖電気工業株式会社 MOSFET device and manufacturing method thereof
US6815241B2 (en) 2002-09-25 2004-11-09 Cao Group, Inc. GaN structures having low dislocation density and methods of manufacture
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6920159B2 (en) 2002-11-29 2005-07-19 Optitune Plc Tunable optical source
US7012314B2 (en) * 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US7589380B2 (en) * 2002-12-18 2009-09-15 Noble Peak Vision Corp. Method for forming integrated circuit utilizing dual semiconductors
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
EP1609177A2 (en) * 2003-03-21 2005-12-28 North Carolina State University Methods for nanoscale structures from optical lithography and subsequent lateral growth
JP2004336040A (en) * 2003-04-30 2004-11-25 Osram Opto Semiconductors Gmbh Method of fabricating plurality of semiconductor chips and electronic semiconductor baseboard
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP2005051022A (en) * 2003-07-28 2005-02-24 Seiko Epson Corp Semiconductor device and its manufacturing method
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7579263B2 (en) * 2003-09-09 2009-08-25 Stc.Unm Threading-dislocation-free nanoheteroepitaxy of Ge on Si using self-directed touch-down of Ge through a thin SiO2 layer
US7211864B2 (en) * 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US6902965B2 (en) 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
DE102004005506B4 (en) * 2004-01-30 2009-11-19 Atmel Automotive Gmbh Method of producing semiconductor active layers of different thickness in an SOI wafer
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7160753B2 (en) * 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US6991998B2 (en) 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US20060105533A1 (en) * 2004-11-16 2006-05-18 Chong Yung F Method for engineering hybrid orientation/material semiconductor substrate
US7344942B2 (en) * 2005-01-26 2008-03-18 Micron Technology, Inc. Isolation regions for semiconductor devices and their formation
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
KR101316947B1 (en) * 2005-11-01 2013-10-15 메사추세츠 인스티튜트 오브 테크놀로지 Monolithically integrated semiconductor materials and devices
US7777250B2 (en) * 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) * 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008051503A2 (en) * 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
KR101093588B1 (en) * 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-junction solar cells

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US20010045604A1 (en) * 2000-05-25 2001-11-29 Hitachi, Ltd. Semiconductor device and manufacturing method
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
WO2005013375A1 (en) * 2003-08-05 2005-02-10 Fujitsu Limited Semiconductor device and its manufacturing method
US20050073028A1 (en) * 2003-10-02 2005-04-07 Grant John M. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US20050104156A1 (en) * 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1911086A2 *

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112008000094B4 (en) * 2007-03-15 2016-09-15 Intel Corporation CMOS device with dual epi-channels and self-aligned contacts and manufacturing processes
JP2008227432A (en) * 2007-03-16 2008-09-25 Furukawa Electric Co Ltd:The Nitride compound semiconductor element and its production process
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7906381B2 (en) 2007-07-05 2011-03-15 Stmicroelectronics S.A. Method for integrating silicon-on-nothing devices with standard CMOS devices
JP2009027163A (en) * 2007-07-11 2009-02-05 Commiss Energ Atom Method for manufacturing semiconductor-on-insulator (soi) substrate for microelectronics and optoelectronics
JP2010536170A (en) * 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Semiconductor structure and manufacturing method
JP2011515871A (en) * 2008-03-25 2011-05-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor device having tensile strain and / or compressive strain, manufacturing method and design structure
US8578305B2 (en) 2008-03-25 2013-11-05 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US8916933B2 (en) 2008-03-25 2014-12-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US8994070B2 (en) 2008-07-01 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9640395B2 (en) 2008-07-01 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
EP2299490A3 (en) * 2009-09-18 2013-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. A semiconductor device comprising a honeycomb heteroepitaxy
EP2317554A1 (en) * 2009-10-30 2011-05-04 Imec Method of manufacturing an integrated semiconductor substrate structure
US8487316B2 (en) 2009-10-30 2013-07-16 Imec Method of manufacturing an integrated semiconductor substrate structure with device areas for definition of GaN-based devices and CMOS devices
US9431519B2 (en) 2014-05-22 2016-08-30 Imec Vzw Method of producing a III-V fin structure
EP2947693A1 (en) * 2014-05-22 2015-11-25 IMEC vzw Method of Producing a III-V Fin Structure
FR3048815A1 (en) * 2016-03-14 2017-09-15 Commissariat Energie Atomique METHOD FOR CO-REALIZATION OF ZONES UNDER DIFFERENT UNIAXIAL CONSTRAINTS
US10665497B2 (en) 2016-03-14 2020-05-26 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing a structure having one or several strained semiconducting zones that may for transistor channel regions

Also Published As

Publication number Publication date
KR20080032234A (en) 2008-04-14
EP1911086A2 (en) 2008-04-16
US7626246B2 (en) 2009-12-01
CN101268547A (en) 2008-09-17
JP2009503871A (en) 2009-01-29
KR101329388B1 (en) 2013-11-14
US20070181977A1 (en) 2007-08-09
JP5481067B2 (en) 2014-04-23
WO2007014294A3 (en) 2007-08-30
CN101268547B (en) 2014-07-09

Similar Documents

Publication Publication Date Title
US7626246B2 (en) Solutions for integrated circuit integration of alternative active area materials
US10854749B2 (en) Source/drain structure having multi-facet surfaces
US10236369B2 (en) Techniques for forming non-planar germanium quantum well devices
US10453757B2 (en) Transistor channel
US10326006B2 (en) FinFET device and fabricating method thereof
CN104350597B (en) There is the field-effect transistor of mixing channel material
US20050205932A1 (en) Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US9859425B2 (en) Field-effect transistor with aggressively strained fins
US9368604B1 (en) Method of removing threading dislocation defect from a fin feature of III-V group semiconductor material
US9548319B2 (en) Structure for integration of an III-V compound semiconductor on SOI
TWI745045B (en) Semiconductor device and method
US8674444B2 (en) Structure and method of forming a transistor with asymmetric channel and source/drain regions
WO2012164082A1 (en) Process for manufacturing a semiconductor device and an intermediate product for the manufacture of a semiconductor device
US20230031490A1 (en) Strained nanosheets on silicon-on-insulator substrate
EP2715785B1 (en) Process for manufacturing a semiconductor device and an intermediate product for the manufacture of a semiconductor device

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680032320.9

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008524156

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006800414

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020087004519

Country of ref document: KR