WO2007021701A3 - Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor - Google Patents

Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor Download PDF

Info

Publication number
WO2007021701A3
WO2007021701A3 PCT/US2006/030944 US2006030944W WO2007021701A3 WO 2007021701 A3 WO2007021701 A3 WO 2007021701A3 US 2006030944 W US2006030944 W US 2006030944W WO 2007021701 A3 WO2007021701 A3 WO 2007021701A3
Authority
WO
WIPO (PCT)
Prior art keywords
trench
dielectric
field effect
effect transistor
gate field
Prior art date
Application number
PCT/US2006/030944
Other languages
French (fr)
Other versions
WO2007021701A2 (en
Inventor
Robert Herrick
Dean E Probst
Fred Session
Original Assignee
Fairchild Semiconductor
Robert Herrick
Dean E Probst
Fred Session
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fairchild Semiconductor, Robert Herrick, Dean E Probst, Fred Session filed Critical Fairchild Semiconductor
Priority to AT0934506A priority Critical patent/AT504736A2/en
Priority to CN2006800287698A priority patent/CN101238581B/en
Priority to KR1020087005785A priority patent/KR101221242B1/en
Priority to JP2008526148A priority patent/JP5075823B2/en
Priority to DE112006002077.3T priority patent/DE112006002077B4/en
Publication of WO2007021701A2 publication Critical patent/WO2007021701A2/en
Publication of WO2007021701A3 publication Critical patent/WO2007021701A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66727Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode

Abstract

A shielded gate trench FET is formed as follows. A trench (202) is formed in a silicon region of a first conductivity type (204), the trench (202) including a shield electrode (208) insulated from the silicon region (204) by a shield dielectric (206). An inter-poly dielectric (IPD) (214) including a layer of thermal oxide (210) and a layer of conformal dielectric (212) is formed along an upper surface of the shield electrode (208). A gate dielectric (216) lining at least upper trench sidewalls (202) is formed. A gate electrode (218) is formed in the trench such that the gate electrode is insulated from the shield electrode by the IPD.
PCT/US2006/030944 2005-08-09 2006-08-04 Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor WO2007021701A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
AT0934506A AT504736A2 (en) 2005-08-09 2006-08-04 STRUCTURE AND METHOD FOR FORMING AN INTER POLY DIELECTRIC SYSTEM IN A FIELD EFFECT TRANSISTOR WITH SHIELDED GATE
CN2006800287698A CN101238581B (en) 2005-08-09 2006-08-04 Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor
KR1020087005785A KR101221242B1 (en) 2005-08-09 2006-08-04 Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor
JP2008526148A JP5075823B2 (en) 2005-08-09 2006-08-04 Structure and manufacturing method of interpoly insulating film in shield gate field effect transistor.
DE112006002077.3T DE112006002077B4 (en) 2005-08-09 2006-08-04 Method of forming an inter-poly dielectric field effect transistor and shielded gate field effect transistor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/201,400 2005-08-09
US11/201,400 US7385248B2 (en) 2005-08-09 2005-08-09 Shielded gate field effect transistor with improved inter-poly dielectric

Publications (2)

Publication Number Publication Date
WO2007021701A2 WO2007021701A2 (en) 2007-02-22
WO2007021701A3 true WO2007021701A3 (en) 2007-09-13

Family

ID=37743042

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/030944 WO2007021701A2 (en) 2005-08-09 2006-08-04 Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor

Country Status (9)

Country Link
US (2) US7385248B2 (en)
JP (1) JP5075823B2 (en)
KR (1) KR101221242B1 (en)
CN (2) CN101238581B (en)
AT (1) AT504736A2 (en)
DE (1) DE112006002077B4 (en)
MY (1) MY141412A (en)
TW (1) TWI417963B (en)
WO (1) WO2007021701A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
JP5259920B2 (en) * 2004-08-04 2013-08-07 ローム株式会社 Semiconductor device and manufacturing method thereof
KR100550351B1 (en) * 2004-09-07 2006-02-08 삼성전자주식회사 Method for forming a layer in a semiconductor device and apparatus for performing the same
JP4955222B2 (en) 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7385248B2 (en) * 2005-08-09 2008-06-10 Fairchild Semiconductor Corporation Shielded gate field effect transistor with improved inter-poly dielectric
DE102005041256B4 (en) * 2005-08-31 2007-12-20 Infineon Technologies Ag trench transistor
US7319256B1 (en) * 2006-06-19 2008-01-15 Fairchild Semiconductor Corporation Shielded gate trench FET with the shield and gate electrodes being connected together
US20080272429A1 (en) * 2007-05-04 2008-11-06 Icemos Technology Corporation Superjunction devices having narrow surface layout of terminal structures and methods of manufacturing the devices
US8159021B2 (en) * 2008-02-20 2012-04-17 Force-Mos Technology Corporation Trench MOSFET with double epitaxial structure
US20090242973A1 (en) * 2008-03-31 2009-10-01 Alpha & Omega Semiconductor, Ltd. Source and body contact structure for trench-dmos devices using polysilicon
US8174071B2 (en) * 2008-05-02 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. High voltage LDMOS transistor
US20100308400A1 (en) * 2008-06-20 2010-12-09 Maxpower Semiconductor Inc. Semiconductor Power Switches Having Trench Gates
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
EP2308095A1 (en) * 2008-07-25 2011-04-13 Nxp B.V. A trench-gate semiconductor device
US7893488B2 (en) * 2008-08-20 2011-02-22 Alpha & Omega Semiconductor, Inc. Charged balanced devices with shielded gate trench
US8278702B2 (en) * 2008-09-16 2012-10-02 Fairchild Semiconductor Corporation High density trench field effect transistor
US8044459B2 (en) 2008-11-10 2011-10-25 Infineon Technologies Austria Ag Semiconductor device with trench field plate including first and second semiconductor materials
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8304829B2 (en) 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US20100187602A1 (en) * 2009-01-29 2010-07-29 Woolsey Debra S Methods for making semiconductor devices using nitride consumption locos oxidation
US8227855B2 (en) * 2009-02-09 2012-07-24 Fairchild Semiconductor Corporation Semiconductor devices with stable and controlled avalanche characteristics and methods of fabricating the same
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US8143125B2 (en) * 2009-03-27 2012-03-27 Fairchild Semiconductor Corporation Structure and method for forming a salicide on the gate electrode of a trench-gate FET
US8072027B2 (en) * 2009-06-08 2011-12-06 Fairchild Semiconductor Corporation 3D channel architecture for semiconductor devices
US8049276B2 (en) * 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers
US8252647B2 (en) * 2009-08-31 2012-08-28 Alpha & Omega Semiconductor Incorporated Fabrication of trench DMOS device having thick bottom shielding oxide
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8072028B2 (en) * 2009-10-26 2011-12-06 Infineon Technologies Austria Ag Method and device including transistor component having a field electrode
US8247296B2 (en) * 2009-12-09 2012-08-21 Semiconductor Components Industries, Llc Method of forming an insulated gate field effect transistor device having a shield electrode structure
US8021947B2 (en) * 2009-12-09 2011-09-20 Semiconductor Components Industries, Llc Method of forming an insulated gate field effect transistor device having a shield electrode structure
US8580667B2 (en) * 2010-12-14 2013-11-12 Alpha And Omega Semiconductor Incorporated Self aligned trench MOSFET with integrated diode
CN102623501B (en) * 2011-01-28 2015-06-03 万国半导体股份有限公司 Shielded gate trench MOSFET with increased source-metal contact
US8610205B2 (en) * 2011-03-16 2013-12-17 Fairchild Semiconductor Corporation Inter-poly dielectric in a shielded gate MOSFET device
JP2014518017A (en) 2011-05-18 2014-07-24 ビシャイ‐シリコニックス Semiconductor device
US8680607B2 (en) * 2011-06-20 2014-03-25 Maxpower Semiconductor, Inc. Trench gated power device with multiple trench width and its fabrication process
US20130001678A1 (en) * 2011-06-29 2013-01-03 Stmicroelectronics S.R.L. High breakdown voltage semiconductor device with an insulated gate formed in a trench, and manufacturing process thereof
US8872278B2 (en) 2011-10-25 2014-10-28 Fairchild Semiconductor Corporation Integrated gate runner and field implant termination for trench devices
US8816431B2 (en) * 2012-03-09 2014-08-26 Fairchild Semiconductor Corporation Shielded gate MOSFET device with a funnel-shaped trench
CN103367144A (en) * 2012-03-26 2013-10-23 马克斯半导体股份有限公司 Trench-type structure of junction electric-field shielding power MOSFET and manufacturing method
KR101893615B1 (en) * 2012-06-15 2018-08-31 매그나칩 반도체 유한회사 Semiconductor Device and Fabricating Method Thereof
TWI512841B (en) 2012-07-13 2015-12-11 Ubiq Semiconductor Corp Method of forming trench gate mosfet
TWI470790B (en) * 2012-07-13 2015-01-21 Ubiq Semiconductor Corp Trench gate mosfet
CN103632950B (en) * 2012-08-20 2016-02-10 上海华虹宏力半导体制造有限公司 Nitride film formation method between polysilicon in groove type double-layer grid MOS
CN103632949B (en) * 2012-08-28 2016-06-08 上海华虹宏力半导体制造有限公司 The forming method of the hot oxygen medium layer of the inter polysilicon of groove type double-layer grid MOS
JP6056292B2 (en) 2012-09-12 2017-01-11 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
JP5811973B2 (en) 2012-09-12 2015-11-11 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
JP2014056913A (en) * 2012-09-12 2014-03-27 Sumitomo Electric Ind Ltd Silicon carbide semiconductor device
US9000497B2 (en) * 2012-09-14 2015-04-07 Renesas Electronics Corporation Trench MOSFET having an independent coupled element in a trench
CN103855017B (en) * 2012-12-03 2016-08-17 上海华虹宏力半导体制造有限公司 The method forming groove type double-layer grid MOS structure two-layer polysilicon lateral isolation
WO2014197802A1 (en) * 2013-06-06 2014-12-11 United Silicon Carbide, Inc. Trench shield connected jfet
TWI528424B (en) * 2013-08-05 2016-04-01 台灣茂矽電子股份有限公司 Method for forming shielded gate of mosfet
KR20150030799A (en) 2013-09-12 2015-03-23 매그나칩 반도체 유한회사 Semiconductor Device and Fabricating Method Thereof
KR102156130B1 (en) 2014-04-10 2020-09-15 삼성전자주식회사 Method of Forming Semiconductor device
US9257622B2 (en) * 2014-04-14 2016-02-09 Jin-Ywan Lin Light-emitting structure
KR102026543B1 (en) 2014-08-19 2019-09-27 비쉐이-실리코닉스 Electronic circuit
CN105789043B (en) * 2014-12-25 2019-03-12 华润微电子(重庆)有限公司 Channel-type semiconductor device and preparation method thereof
US10396215B2 (en) 2015-03-10 2019-08-27 United Silicon Carbide, Inc. Trench vertical JFET with improved threshold voltage control
JP6472776B2 (en) 2016-02-01 2019-02-20 富士電機株式会社 Silicon carbide semiconductor device and method for manufacturing silicon carbide semiconductor device
US10707343B2 (en) * 2016-03-31 2020-07-07 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
CN105895516B (en) * 2016-04-29 2018-08-31 深圳尚阳通科技有限公司 The manufacturing method of trench gate mosfet with shield grid
TWI615889B (en) * 2016-05-18 2018-02-21 杰力科技股份有限公司 Method of fabricating power mosfet
TWI577010B (en) 2016-05-18 2017-04-01 杰力科技股份有限公司 Power mosfet
TWI643253B (en) * 2016-05-18 2018-12-01 杰力科技股份有限公司 Method of fabricating power mosfet
US10600871B2 (en) 2016-05-23 2020-03-24 General Electric Company Electric field shielding in silicon carbide metal-oxide-semiconductor (MOS) device cells using body region extensions
JP6649216B2 (en) 2016-09-16 2020-02-19 株式会社東芝 Semiconductor device and manufacturing method thereof
TWI663725B (en) 2017-04-26 2019-06-21 國立清華大學 Structure of u-metal-oxide-semiconductor field-effect transistor
CN109216449B (en) * 2017-06-30 2021-07-30 帅群微电子股份有限公司 Trench type power semiconductor element and manufacturing method thereof
US10153357B1 (en) 2017-08-28 2018-12-11 Nxp Usa, Inc. Superjunction power semiconductor device and method for forming
CN107871787B (en) * 2017-10-11 2021-10-12 矽力杰半导体技术(杭州)有限公司 Method for manufacturing trench MOSFET
TW201926470A (en) * 2017-12-06 2019-07-01 力祥半導體股份有限公司 Trench gate MOSFET
CN108389800A (en) * 2018-01-31 2018-08-10 华润微电子(重庆)有限公司 The manufacturing method of shield grid trench FET
US10714574B2 (en) * 2018-05-08 2020-07-14 Ipower Semiconductor Shielded trench devices
JP6970068B2 (en) 2018-09-14 2021-11-24 株式会社東芝 Semiconductor device
JP7061954B2 (en) * 2018-11-07 2022-05-02 三菱電機株式会社 Semiconductor device
CN111613674A (en) * 2019-02-25 2020-09-01 恒泰柯半导体(上海)有限公司 Deep trench MOSFET
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US10811502B1 (en) * 2019-05-30 2020-10-20 Nxp Usa, Inc. Method of manufacture of super-junction power semiconductor device
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
CN110896026A (en) * 2019-11-22 2020-03-20 矽力杰半导体技术(杭州)有限公司 Trench type MOSFET structure and manufacturing method thereof
CN112864018B (en) * 2019-11-28 2022-07-19 华润微电子(重庆)有限公司 Groove type field effect transistor structure and preparation method thereof
CN111276394B (en) * 2020-02-18 2022-09-23 捷捷微电(上海)科技有限公司 Manufacturing method of split gate MOSFET
DE102020205706A1 (en) 2020-05-06 2021-11-11 Robert Bosch Gesellschaft mit beschränkter Haftung Method of making a low-defect junction
CN113327858B (en) * 2020-07-15 2024-02-06 上海积塔半导体有限公司 Shielded gate field effect transistor and method of manufacturing the same
CN111681963B (en) * 2020-08-11 2020-11-20 中芯集成电路制造(绍兴)有限公司 Shielded gate field effect transistor and forming method thereof
US11848378B2 (en) * 2020-08-13 2023-12-19 Stmicroelectronics Pte Ltd Split-gate trench power MOSFET with self-aligned poly-to-poly isolation
JP2022120620A (en) * 2021-02-05 2022-08-18 三菱電機株式会社 Semiconductor device and method of manufacturing the same
EP4057359A1 (en) 2021-03-08 2022-09-14 Nexperia B.V. Semiconductor device comprising mutually separated trench structures
KR102500888B1 (en) 2021-05-31 2023-02-17 주식회사 키파운드리 Split Gate Power MOSFET and Method for Manufacturing The Same
CN114678275A (en) * 2021-12-29 2022-06-28 杭州芯迈半导体技术有限公司 Split gate MOSFET and method of manufacturing the same
CN114242578B (en) * 2022-02-21 2022-06-17 威海银创微电子技术有限公司 Method, device and medium for controlling IPO thickness in SGT Mosfet

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6331466B1 (en) * 1994-02-21 2001-12-18 Mitsubishi Denki Kabushiki Kaisha Insulated gate semiconductor device and manufacturing method thereof

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3404295A (en) 1964-11-30 1968-10-01 Motorola Inc High frequency and voltage transistor with added region for punch-through protection
US3412297A (en) 1965-12-16 1968-11-19 United Aircraft Corp Mos field-effect transistor with a onemicron vertical channel
US3497777A (en) 1967-06-13 1970-02-24 Stanislas Teszner Multichannel field-effect semi-conductor device
US3564356A (en) 1968-10-24 1971-02-16 Tektronix Inc High voltage integrated circuit transistor
US3660697A (en) 1970-02-16 1972-05-02 Bell Telephone Labor Inc Monolithic semiconductor apparatus adapted for sequential charge transfer
US4003072A (en) 1972-04-20 1977-01-11 Sony Corporation Semiconductor device with high voltage breakdown resistance
US4011105A (en) 1975-09-15 1977-03-08 Mos Technology, Inc. Field inversion control for n-channel device integrated circuits
US4337474A (en) 1978-08-31 1982-06-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US4698653A (en) 1979-10-09 1987-10-06 Cardwell Jr Walter T Semiconductor devices controlled by depletion regions
US4638344A (en) 1979-10-09 1987-01-20 Cardwell Jr Walter T Junction field-effect transistor controlled by merged depletion regions
US4345265A (en) 1980-04-14 1982-08-17 Supertex, Inc. MOS Power transistor with improved high-voltage capability
US4868624A (en) 1980-05-09 1989-09-19 Regents Of The University Of Minnesota Channel collector transistor
US4300150A (en) 1980-06-16 1981-11-10 North American Philips Corporation Lateral double-diffused MOS transistor device
US4326332A (en) 1980-07-28 1982-04-27 International Business Machines Corp. Method of making a high density V-MOS memory array
EP0051693B1 (en) 1980-11-12 1985-06-19 Ibm Deutschland Gmbh Electrically switchable read-only memory
US4324038A (en) 1980-11-24 1982-04-13 Bell Telephone Laboratories, Incorporated Method of fabricating MOS field effect transistors
US4969028A (en) 1980-12-02 1990-11-06 General Electric Company Gate enhanced rectifier
GB2089119A (en) 1980-12-10 1982-06-16 Philips Electronic Associated High voltage semiconductor devices
US4974059A (en) 1982-12-21 1990-11-27 International Rectifier Corporation Semiconductor high-power mosfet device
JPS6016420A (en) 1983-07-08 1985-01-28 Mitsubishi Electric Corp Selective epitaxial growth method
US4639761A (en) 1983-12-16 1987-01-27 North American Philips Corporation Combined bipolar-field effect transistor resurf devices
US4568958A (en) 1984-01-03 1986-02-04 General Electric Company Inversion-mode insulated-gate gallium arsenide field-effect transistors
FR2566179B1 (en) 1984-06-14 1986-08-22 Commissariat Energie Atomique METHOD FOR SELF-POSITIONING OF A LOCALIZED FIELD OXIDE WITH RESPECT TO AN ISOLATION TRENCH
US5208657A (en) 1984-08-31 1993-05-04 Texas Instruments Incorporated DRAM Cell with trench capacitor and vertical channel in substrate
US4824793A (en) 1984-09-27 1989-04-25 Texas Instruments Incorporated Method of making DRAM cell with trench capacitor
US4673962A (en) 1985-03-21 1987-06-16 Texas Instruments Incorporated Vertical DRAM cell and method
US4774556A (en) 1985-07-25 1988-09-27 Nippondenso Co., Ltd. Non-volatile semiconductor memory device
US5262336A (en) 1986-03-21 1993-11-16 Advanced Power Technology, Inc. IGBT process to produce platinum lifetime control
US5034785A (en) 1986-03-24 1991-07-23 Siliconix Incorporated Planar vertical channel DMOS structure
US4767722A (en) 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4716126A (en) 1986-06-05 1987-12-29 Siliconix Incorporated Fabrication of double diffused metal oxide semiconductor transistor
US4746630A (en) 1986-09-17 1988-05-24 Hewlett-Packard Company Method for producing recessed field oxide with improved sidewall characteristics
US4941026A (en) 1986-12-05 1990-07-10 General Electric Company Semiconductor devices exhibiting minimum on-resistance
JP2577330B2 (en) 1986-12-11 1997-01-29 新技術事業団 Method of manufacturing double-sided gate static induction thyristor
US5105243A (en) 1987-02-26 1992-04-14 Kabushiki Kaisha Toshiba Conductivity-modulation metal oxide field effect transistor with single gate structure
US4821095A (en) 1987-03-12 1989-04-11 General Electric Company Insulated gate semiconductor device with extra short grid and method of fabrication
US5035312A (en) 1987-03-25 1991-07-30 Kabushiki Kaisha Komatsu Seisakusho Apparatus for controlling hydraulic pressure for clutch
US4745079A (en) 1987-03-30 1988-05-17 Motorola, Inc. Method for fabricating MOS transistors having gates with different work functions
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
US4823176A (en) 1987-04-03 1989-04-18 General Electric Company Vertical double diffused metal oxide semiconductor (VDMOS) device including high voltage junction exhibiting increased safe operating area
US5164325A (en) 1987-10-08 1992-11-17 Siliconix Incorporated Method of making a vertical current flow field effect transistor
US4893160A (en) 1987-11-13 1990-01-09 Siliconix Incorporated Method for increasing the performance of trenched devices and the resulting structure
US4914058A (en) 1987-12-29 1990-04-03 Siliconix Incorporated Grooved DMOS process with varying gate dielectric thickness
EP0332822A1 (en) 1988-02-22 1989-09-20 Asea Brown Boveri Ag Field-effect-controlled bipolar power semiconductor device, and method of making the same
US4967245A (en) 1988-03-14 1990-10-30 Siliconix Incorporated Trench power MOSFET device
US5283201A (en) 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
KR0173111B1 (en) 1988-06-02 1999-02-01 야마무라 가쯔미 Trench gate metal oxide semiconductor field effect transistor
US4961100A (en) 1988-06-20 1990-10-02 General Electric Company Bidirectional field effect semiconductor device and circuit
JPH0216763A (en) 1988-07-05 1990-01-19 Toshiba Corp Manufacture of semiconductor device
US4853345A (en) 1988-08-22 1989-08-01 Delco Electronics Corporation Process for manufacture of a vertical DMOS transistor
US5268311A (en) 1988-09-01 1993-12-07 International Business Machines Corporation Method for forming a thin dielectric layer on a substrate
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US5072266A (en) 1988-12-27 1991-12-10 Siliconix Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
US5111253A (en) 1989-05-09 1992-05-05 General Electric Company Multicellular FET having a Schottky diode merged therewith
US4992390A (en) 1989-07-06 1991-02-12 General Electric Company Trench gate structure with thick bottom oxide
WO1991003842A1 (en) 1989-08-31 1991-03-21 Nippondenso Co., Ltd. Insulated gate bipolar transistor
US5248894A (en) 1989-10-03 1993-09-28 Harris Corporation Self-aligned channel stop for trench-isolated island
US5134448A (en) 1990-01-29 1992-07-28 Motorola, Inc. MOSFET with substrate source contact
US5242845A (en) 1990-06-13 1993-09-07 Kabushiki Kaisha Toshiba Method of production of vertical MOS transistor
US5071782A (en) 1990-06-28 1991-12-10 Texas Instruments Incorporated Vertical memory cell array and method of fabrication
US5079608A (en) 1990-11-06 1992-01-07 Harris Corporation Power MOSFET transistor circuit with active clamp
EP0487022B1 (en) 1990-11-23 1997-04-23 Texas Instruments Incorporated A method of simultaneously fabricating an insulated gate-field-effect transistor and a bipolar transistor
US5065273A (en) 1990-12-04 1991-11-12 International Business Machines Corporation High capacity DRAM trench capacitor and methods of fabricating same
US5168331A (en) 1991-01-31 1992-12-01 Siliconix Incorporated Power metal-oxide-semiconductor field effect transistor
JP2825004B2 (en) 1991-02-08 1998-11-18 インターナショナル・ビジネス・マシーンズ・コーポレーション Sidewall charge-coupled imaging device and method of manufacturing the same
CN1019720B (en) 1991-03-19 1992-12-30 电子科技大学 Power semiconductor device
US5164802A (en) 1991-03-20 1992-11-17 Harris Corporation Power vdmosfet with schottky on lightly doped drain of lateral driver fet
US5250450A (en) 1991-04-08 1993-10-05 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
JP2603886B2 (en) 1991-05-09 1997-04-23 日本電信電話株式会社 Method for manufacturing thin SOI insulated gate field effect transistor
US5219793A (en) 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
KR940006702B1 (en) 1991-06-14 1994-07-25 금성일렉트론 주식회사 Manufacturing method of mosfet
US5298761A (en) 1991-06-17 1994-03-29 Nikon Corporation Method and apparatus for exposure process
JP2570022B2 (en) 1991-09-20 1997-01-08 株式会社日立製作所 Constant voltage diode, power conversion device using the same, and method of manufacturing constant voltage diode
JPH0613627A (en) 1991-10-08 1994-01-21 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
US5300452A (en) 1991-12-18 1994-04-05 U.S. Philips Corporation Method of manufacturing an optoelectronic semiconductor device
JPH05304297A (en) 1992-01-29 1993-11-16 Nec Corp Semiconductor power device and manufacture thereof
JPH06196723A (en) 1992-04-28 1994-07-15 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5233215A (en) 1992-06-08 1993-08-03 North Carolina State University At Raleigh Silicon carbide power MOSFET with floating field ring and floating field plate
US5430324A (en) * 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5910669A (en) 1992-07-24 1999-06-08 Siliconix Incorporated Field effect Trench transistor having lightly doped epitaxial region on the surface portion thereof
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
US5294824A (en) 1992-07-31 1994-03-15 Motorola, Inc. High voltage transistor having reduced on-resistance
US5300447A (en) 1992-09-29 1994-04-05 Texas Instruments Incorporated Method of manufacturing a minimum scaled transistor
US5275965A (en) 1992-11-25 1994-01-04 Micron Semiconductor, Inc. Trench isolation using gated sidewalls
US5326711A (en) 1993-01-04 1994-07-05 Texas Instruments Incorporated High performance high voltage vertical transistor and method of fabrication
US5418376A (en) 1993-03-02 1995-05-23 Toyo Denki Seizo Kabushiki Kaisha Static induction semiconductor device with a distributed main electrode structure and static induction semiconductor device with a static induction main electrode shorted structure
US5341011A (en) 1993-03-15 1994-08-23 Siliconix Incorporated Short channel trenched DMOS transistor
DE4309764C2 (en) 1993-03-25 1997-01-30 Siemens Ag Power MOSFET
KR960012585B1 (en) * 1993-06-25 1996-09-23 Samsung Electronics Co Ltd Transistor structure and the method for manufacturing the same
US5371396A (en) 1993-07-02 1994-12-06 Thunderbird Technologies, Inc. Field effect transistor having polycrystalline silicon gate junction
US5365102A (en) 1993-07-06 1994-11-15 North Carolina State University Schottky barrier rectifier with MOS trench
BE1007283A3 (en) 1993-07-12 1995-05-09 Philips Electronics Nv Semiconductor device with most with an extended drain area high voltage.
JPH07122749A (en) 1993-09-01 1995-05-12 Toshiba Corp Semiconductor device and its manufacture
JP3400846B2 (en) 1994-01-20 2003-04-28 三菱電機株式会社 Semiconductor device having trench structure and method of manufacturing the same
US5429977A (en) 1994-03-11 1995-07-04 Industrial Technology Research Institute Method for forming a vertical transistor with a stacked capacitor DRAM cell
US5434435A (en) 1994-05-04 1995-07-18 North Carolina State University Trench gate lateral MOSFET
DE4417150C2 (en) * 1994-05-17 1996-03-14 Siemens Ag Method for producing an arrangement with self-reinforcing dynamic MOS transistor memory cells
US5405794A (en) 1994-06-14 1995-04-11 Philips Electronics North America Corporation Method of producing VDMOS device of increased power density
US5424231A (en) 1994-08-09 1995-06-13 United Microelectronics Corp. Method for manufacturing a VDMOS transistor
US5583368A (en) * 1994-08-11 1996-12-10 International Business Machines Corporation Stacked devices
US5674766A (en) * 1994-12-30 1997-10-07 Siliconix Incorporated Method of making a trench MOSFET with multi-resistivity drain to provide low on-resistance by varying dopant concentration in epitaxial layer
US5597765A (en) * 1995-01-10 1997-01-28 Siliconix Incorporated Method for making termination structure for power MOSFET
JP3325736B2 (en) * 1995-02-09 2002-09-17 三菱電機株式会社 Insulated gate semiconductor device
JP3291957B2 (en) * 1995-02-17 2002-06-17 富士電機株式会社 Vertical trench MISFET and method of manufacturing the same
US5595927A (en) * 1995-03-17 1997-01-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for making self-aligned source/drain mask ROM memory cell using trench etched channel
US5592005A (en) * 1995-03-31 1997-01-07 Siliconix Incorporated Punch-through field effect transistor
US5554552A (en) * 1995-04-03 1996-09-10 Taiwan Semiconductor Manufacturing Company PN junction floating gate EEPROM, flash EPROM device and method of manufacture thereof
US5744372A (en) * 1995-04-12 1998-04-28 National Semiconductor Corporation Fabrication of complementary field-effect transistors each having multi-part channel
JPH08306914A (en) * 1995-04-27 1996-11-22 Nippondenso Co Ltd Semiconductor device and its manufacture
US5705409A (en) * 1995-09-28 1998-01-06 Motorola Inc. Method for forming trench transistor structure
US5879971A (en) * 1995-09-28 1999-03-09 Motorola Inc. Trench random access memory cell and method of formation
US5616945A (en) * 1995-10-13 1997-04-01 Siliconix Incorporated Multiple gated MOSFET for use in DC-DC converter
US6037632A (en) * 1995-11-06 2000-03-14 Kabushiki Kaisha Toshiba Semiconductor device
EP1408554B1 (en) * 1996-02-05 2015-03-25 Infineon Technologies AG Field effect controlled semiconductor component
US5895951A (en) * 1996-04-05 1999-04-20 Megamos Corporation MOSFET structure and fabrication process implemented by forming deep and narrow doping regions through doping trenches
US5719409A (en) * 1996-06-06 1998-02-17 Cree Research, Inc. Silicon carbide metal-insulator semiconductor field effect transistor
JP2891205B2 (en) * 1996-10-21 1999-05-17 日本電気株式会社 Manufacturing method of semiconductor integrated circuit
US6207994B1 (en) * 1996-11-05 2001-03-27 Power Integrations, Inc. High-voltage transistor with multi-layer conduction region
US6168983B1 (en) * 1996-11-05 2001-01-02 Power Integrations, Inc. Method of making a high-voltage transistor with multiple lateral conduction layers
US6011298A (en) * 1996-12-31 2000-01-04 Stmicroelectronics, Inc. High voltage termination with buried field-shaping region
JP3938964B2 (en) * 1997-02-10 2007-06-27 三菱電機株式会社 High voltage semiconductor device and manufacturing method thereof
US5877528A (en) * 1997-03-03 1999-03-02 Megamos Corporation Structure to provide effective channel-stop in termination areas for trenched power transistors
KR100225409B1 (en) * 1997-03-27 1999-10-15 김덕중 Trench dmos and method of manufacturing the same
US5879994A (en) * 1997-04-15 1999-03-09 National Semiconductor Corporation Self-aligned method of fabricating terrace gate DMOS transistor
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
JP3502531B2 (en) * 1997-08-28 2004-03-02 株式会社ルネサステクノロジ Method for manufacturing semiconductor device
DE19740195C2 (en) * 1997-09-12 1999-12-02 Siemens Ag Semiconductor device with metal-semiconductor junction with low reverse current
US6337499B1 (en) * 1997-11-03 2002-01-08 Infineon Technologies Ag Semiconductor component
US5949104A (en) * 1998-02-07 1999-09-07 Xemod, Inc. Source connection structure for lateral RF MOS devices
US5897343A (en) * 1998-03-30 1999-04-27 Motorola, Inc. Method of making a power switching trench MOSFET having aligned source regions
US5945724A (en) * 1998-04-09 1999-08-31 Micron Technology, Inc. Trench isolation region for semiconductor device
US6048772A (en) * 1998-05-04 2000-04-11 Xemod, Inc. Method for fabricating a lateral RF MOS device with an non-diffusion source-backside connection
DE19820223C1 (en) * 1998-05-06 1999-11-04 Siemens Ag Variable doping epitaxial layer manufacturing method
US6015727A (en) * 1998-06-08 2000-01-18 Wanlass; Frank M. Damascene formation of borderless contact MOS transistors
DE19848828C2 (en) * 1998-10-22 2001-09-13 Infineon Technologies Ag Semiconductor device with low forward voltage and high blocking capability
US6194741B1 (en) * 1998-11-03 2001-02-27 International Rectifier Corp. MOSgated trench type power semiconductor with silicon carbide substrate and increased gate breakdown voltage and reduced on-resistance
DE19854915C2 (en) * 1998-11-27 2002-09-05 Infineon Technologies Ag MOS field effect transistor with auxiliary electrode
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
US6204097B1 (en) * 1999-03-01 2001-03-20 Semiconductor Components Industries, Llc Semiconductor device and method of manufacture
US6188105B1 (en) * 1999-04-01 2001-02-13 Intersil Corporation High density MOS-gated power device and process for forming same
TW425701B (en) * 1999-04-27 2001-03-11 Taiwan Semiconductor Mfg Manufacturing method of stack-type capacitor
US6198127B1 (en) * 1999-05-19 2001-03-06 Intersil Corporation MOS-gated power device having extended trench and doping zone and process for forming same
US6191447B1 (en) * 1999-05-28 2001-02-20 Micro-Ohm Corporation Power semiconductor devices that utilize tapered trench-based insulating regions to improve electric field profiles in highly doped drift region mesas and methods of forming same
JP3851744B2 (en) * 1999-06-28 2006-11-29 株式会社東芝 Manufacturing method of semiconductor device
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
JP3971062B2 (en) * 1999-07-29 2007-09-05 株式会社東芝 High voltage semiconductor device
JP2001085685A (en) * 1999-09-13 2001-03-30 Shindengen Electric Mfg Co Ltd Transistor
US20030060013A1 (en) * 1999-09-24 2003-03-27 Bruce D. Marchant Method of manufacturing trench field effect transistors with trenched heavy body
US6461918B1 (en) * 1999-12-20 2002-10-08 Fairchild Semiconductor Corporation Power MOS device with improved gate charge performance
US6285060B1 (en) * 1999-12-30 2001-09-04 Siliconix Incorporated Barrier accumulation-mode MOSFET
US6346469B1 (en) * 2000-01-03 2002-02-12 Motorola, Inc. Semiconductor device and a process for forming the semiconductor device
US6627949B2 (en) * 2000-06-02 2003-09-30 General Semiconductor, Inc. High voltage power MOSFET having low on-resistance
US6479352B2 (en) * 2000-06-02 2002-11-12 General Semiconductor, Inc. Method of fabricating high voltage power MOSFET having low on-resistance
EP1170803A3 (en) * 2000-06-08 2002-10-09 Siliconix Incorporated Trench gate MOSFET and method of making the same
JP2002016152A (en) 2000-06-29 2002-01-18 Toshiba Corp Manufacturing method of semiconductor device
JP4528460B2 (en) 2000-06-30 2010-08-18 株式会社東芝 Semiconductor element
US6921939B2 (en) * 2000-07-20 2005-07-26 Fairchild Semiconductor Corporation Power MOSFET and method for forming same using a self-aligned body implant
US6362112B1 (en) * 2000-11-08 2002-03-26 Fabtech, Inc. Single step etched moat
US6608350B2 (en) * 2000-12-07 2003-08-19 International Rectifier Corporation High voltage vertical conduction superjunction semiconductor device
US6870220B2 (en) 2002-08-23 2005-03-22 Fairchild Semiconductor Corporation Method and apparatus for improved MOS gating to reduce miller capacitance and switching losses
US7345342B2 (en) * 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6677641B2 (en) * 2001-10-17 2004-01-13 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
US6683346B2 (en) 2001-03-09 2004-01-27 Fairchild Semiconductor Corporation Ultra dense trench-gated power-device with the reduced drain-source feedback capacitance and Miller charge
TWI248136B (en) * 2002-03-19 2006-01-21 Infineon Technologies Ag Method for fabricating a transistor arrangement having trench transistor cells having a field electrode
TW573344B (en) * 2002-05-24 2004-01-21 Nanya Technology Corp Separated gate flash memory and its manufacturing method
DE10324754B4 (en) * 2003-05-30 2018-11-08 Infineon Technologies Ag Semiconductor device
TWI227540B (en) * 2003-06-10 2005-02-01 Mosel Vitelic Inc Method for forming rounding corner in the trench
DE10350684B4 (en) 2003-10-30 2008-08-28 Infineon Technologies Ag Method for producing a power transistor arrangement and power transistor arrangement produced by this method
DE10353387B4 (en) 2003-11-14 2008-07-24 Infineon Technologies Ag Method for producing a power transistor arrangement and power transistor arrangement
JP5034151B2 (en) 2003-12-17 2012-09-26 富士電機株式会社 Semiconductor device and manufacturing method thereof
TWI400757B (en) * 2005-06-29 2013-07-01 Fairchild Semiconductor Methods for forming shielded gate field effect transistors
US7238586B2 (en) * 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US7385248B2 (en) * 2005-08-09 2008-06-10 Fairchild Semiconductor Corporation Shielded gate field effect transistor with improved inter-poly dielectric

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6331466B1 (en) * 1994-02-21 2001-12-18 Mitsubishi Denki Kabushiki Kaisha Insulated gate semiconductor device and manufacturing method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices

Also Published As

Publication number Publication date
CN101238581A (en) 2008-08-06
CN101800245A (en) 2010-08-11
AT504736A2 (en) 2008-07-15
MY141412A (en) 2010-04-30
US20070037327A1 (en) 2007-02-15
JP2009505403A (en) 2009-02-05
KR101221242B1 (en) 2013-01-11
DE112006002077B4 (en) 2020-01-02
US7385248B2 (en) 2008-06-10
WO2007021701A2 (en) 2007-02-22
TWI417963B (en) 2013-12-01
US20080090339A1 (en) 2008-04-17
US7598144B2 (en) 2009-10-06
DE112006002077T5 (en) 2008-07-03
JP5075823B2 (en) 2012-11-21
KR20080035686A (en) 2008-04-23
CN101800245B (en) 2013-03-27
CN101238581B (en) 2010-04-14
TW200715416A (en) 2007-04-16

Similar Documents

Publication Publication Date Title
WO2007021701A3 (en) Structure and method for forming inter-poly dielectric in a shielded gate field effect transistor
TW200625646A (en) Field effect transistor and fabrication method thereof
TW200707589A (en) Structure and method for forming laterally extending dielectric layer in a trench-gate FET
WO2006127914A3 (en) Trench-gate field effect transistors and methods of forming the same
WO2007110832A3 (en) Trench-gate semiconductor device and method of fabrication thereof
WO2007002857A3 (en) Structures and methods for forming shielded gate field effect transistors
WO2003100865A3 (en) Microwave field effect transistor structure
WO2008020911A3 (en) High power insulated gate bipolar transistors
TW200742045A (en) Semiconductor device having a recess channel transistor
WO2006072575A3 (en) Ldmos transistor
TW200725890A (en) Excessive round-hole shielded gate trench (SGT) MOSFET devices and manufacturing processes
WO2009019837A1 (en) Silicon carbide semiconductor device and method for producing the same
WO2007082266A3 (en) Semiconductor transistors with expanded top portions of gates
WO2005086237A3 (en) Ldmos transistor and method of making the same
TW200633125A (en) Semiconductor device and method of semiconductor device
TW200717806A (en) Planar ultra-thin semiconductor-on-insulator channel MOSFET with embedded source/drains
TW200713468A (en) Fabrication method for a trench transistor and corresponding trench transistor
TW200515606A (en) Metal-oxide-semiconductor device having improved performance and reliability
TW200631065A (en) Strained transistor with hybrid-strain inducing layer
TW200625471A (en) Semiconductor device employing an extension spacer and method of forming the same
JP2013514632A5 (en)
WO2007072405A3 (en) Semiconductor device with recessed field plate and method of manufacturing the same
TW200611306A (en) Semiconductor device and method for forming the same
TW200605355A (en) LDMOS device and method of fabrication
SG142221A1 (en) Silicided polysilicon spacer for enhanced contact area

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680028769.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008526148

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1120060020773

Country of ref document: DE

ENP Entry into the national phase

Ref document number: 93452006

Country of ref document: AT

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1020087005785

Country of ref document: KR

RET De translation (de og part 6b)

Ref document number: 112006002077

Country of ref document: DE

Date of ref document: 20080703

Kind code of ref document: P

WWE Wipo information: entry into national phase

Ref document number: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06800996

Country of ref document: EP

Kind code of ref document: A2