WO2007044786A2 - Interferometry method and system including spectral decomposition - Google Patents

Interferometry method and system including spectral decomposition Download PDF

Info

Publication number
WO2007044786A2
WO2007044786A2 PCT/US2006/039681 US2006039681W WO2007044786A2 WO 2007044786 A2 WO2007044786 A2 WO 2007044786A2 US 2006039681 W US2006039681 W US 2006039681W WO 2007044786 A2 WO2007044786 A2 WO 2007044786A2
Authority
WO
WIPO (PCT)
Prior art keywords
light
measurement
detector
spatial
measurement surface
Prior art date
Application number
PCT/US2006/039681
Other languages
French (fr)
Other versions
WO2007044786A3 (en
Inventor
Xavier Colonna De Lega
Peter De Groot
Original Assignee
Zygo Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corporation filed Critical Zygo Corporation
Publication of WO2007044786A2 publication Critical patent/WO2007044786A2/en
Publication of WO2007044786A3 publication Critical patent/WO2007044786A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02044Imaging in the frequency domain, e.g. by using a spectrometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02022Interferometers characterised by the beam path configuration contacting one object by grazing incidence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02034Interferometers characterised by particularly shaped beams or wavefronts
    • G01B9/02035Shaping the focal point, e.g. elongated focus
    • G01B9/02037Shaping the focal point, e.g. elongated focus by generating a transverse line focus
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Definitions

  • an interferometer combines a measurement wavefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an interferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface.
  • a scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs of the interferometer over a range comparable to, or larger than, the coherence length of the interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram.
  • OPD optical path length difference
  • a limited (or "low") coherence length can be produced, for example, by using a broadband light source (e.g., a white light source), which is referred to as scanning white light interferometry (SWLI).
  • SWLI scanning white light interferometry
  • a typical SWLI signal is a few fringes localized near the zero optical path length difference (OPD) position.
  • OPD optical path length difference
  • the signal is typically characterized by a sinusoidal carrier modulation (the "fringes”) with bell-shaped fringe-contrast envelope.
  • the conventional idea underlying SWLI metrology is to make use of the localization of the fringes to measure surface profiles.
  • Low-coherence interferometry signals can also be produced with narrow band light that illuminates an object over a wide range of angles, such as, for example, in imaging interferometers that have a high numerical aperture.
  • Techniques for processing low-coherence interferometry signals include two principle trends.
  • the first approach is to locate the peak or center of the envelope, assuming that this position corresponds to the zero optical path length difference (OPD) of a two-beam interferometer for which one beam reflects from the measurement surface.
  • the second Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT approach is to transform the signal into the frequency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No. 5,398,113 to Peter de Groot, the entire contents of which are incorporated herein by reference. This latter approach is referred to as Frequency Domain Analysis (FDA).
  • FDA Frequency Domain Analysis
  • One useful application of such surface profiling techniques is the profiling of lithography wafers covered with photoresist.
  • the goal in this case is to determine the topography of the top surface of the photoresist over a patterned substrate and to provide information about the location of this surface with respect to some system datum, one application of which is to establish the position of best focus during the photolithographic process.
  • U.S. Patent Application Publication No. 2005/0057757 Al entitled "LOW COHERENCE GRAZING INCIDENCE INTERFEROMETRY SYSTEMS AND METHODS" by Xavier Colonna de Lega et al., the contents of which are incorporated herein by reference.
  • the objects can include a complex surface structure, such as thin film(s), discrete structures of dissimilar materials, or discrete structures that are underresolved by the optical resolution of an interference microscope.
  • a complex surface structure such as thin film(s), discrete structures of dissimilar materials, or discrete structures that are underresolved by the optical resolution of an interference microscope.
  • Such measurements are relevant to the characterization of flat panel display components, semiconductor wafer metrology, and in-situ thin film and dissimilar materials analysis, for example.
  • spatial information of a measurement surface also referred to as the object or measurement surface
  • the top surface of a thin film Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT structure is established using white-light grazing incidence interferometry with detection in the spectral domain.
  • the disclosed systems and methods are suitable for determining the location and orientation (piston, tip and tilt with respect to the interferometer) of a measurement surface that may be covered by a thin film structure (e.g., a photoresist on substrate, which may itself include one or more layers). Such information can be used, for example, to optimally position a photoresist on wafer with respect to a photolithography tool.
  • a thin film structure e.g., a photoresist on substrate, which may itself include one or more layers.
  • the interferometer uses a spectrally broadband and/or spatially extended light source, which results in an interference pattern that has significantly reduced sensitivity to the influence of the underlying layers in the case of a coated surface compared to a monochromatic point source.
  • Another aspect of the interferometer is the use of a 2-dimensional multi-element detector to map with a single exposure the topography of a line of points on a measurement surface. One dimension on the detector corresponds to the line of object positions while the other dimension corresponds to a range of wavenumbers of the light source.
  • the use of grazing incidence can provide an un-obscured view of the measurement surface, allowing other sensors (e.g., an alignment scope in the photolithography tool) to observe the object near nonnal incidence.
  • Additional camera exposures can be recorded for different measurement surface locations, providing spatial information about a two-dimensional area of the measurement surface instead of a single line.
  • the light source spectrum can include a number of sharp emission lines that are used to provide calibration information for the optical system.
  • the light is linearly polarized in the plane of incidence, illuminating the measurement surface at or near Brewster's angle. In this case the substrate surface itself, rather than the top surface of the thin film on the substrate, is profiled by the interferometer.
  • the invention features an interferometry method including: (i) combining reference light with measurement light reflected from a line of points on a measurement surface, wherein the measurement light and the reference light are derived from a common source; (ii) directing the combined light onto a detector to image the line of points P C T/ ' U S Oi. IS. /" 3 "i IB Si .1
  • the method may further include providing a difference in optical path from the source to the detector between the measurement light and the reference light, wherein the difference in optical path and the range of frequencies produced by the common source are large enough to produce a series of intensity peaks in the spectrally dispersed light along the second dimension of the detector. For example, at least three of the peaks in the series have an intensity greater than half of the intensity of the most-intense peak in the series.
  • the method may further include analyzing the spectrally dispersed light includes transforming intensity information (e.g., by Fourier transformation) about the spectrally dispersed light from each point on the line to produce an interference signal.
  • analyzing the spectrally dispersed light may further include analyzing the interference signal for each point on the line to determine surface height variations along the line of the measurement surface.
  • the measurement surface may be on a measurement object including a thin film on a substrate, and analyzing the interference signal for each point on the line may include selecting a subset of the interference signal corresponding to the top surface of the thin film and analyzing the subset to determine a relative surface height for each point on the top surface of the thin film along the line.
  • the measurement surface may be on a measurement object including a thin film on a substrate (which itself may include one or more layers).
  • the spatial information may correspond, for example, to a relative height profile for the top surface of the thin film.
  • the spatial information may correspond, for example, to a relative height profile for the interface between the thin film and the substrate.
  • the measurement light may be selected to have a polarization and to be directed to be incident on the top surface of the thin film at an angle that cause it to substantially completely transmit through the top surface of the thin film and reflect from the interface between the thin film and the substrate (e.g., a Brewster's angle).
  • the measurement and reference light may be in the visible region of the electromagnetic spectrum. Alternatively, the measurement and reference light may be outside the visible region of the electromagnetic spectrum. Specifically, by using the word "light” we do not mean to limit electromagnetic radiation to that that is visible.
  • the method may further include combining of the measurement and reference light using a grazing-incidence interferometer. For example, the measurement light may be directed to the measurement surface at an angle of incidence of about 60°, such as about 75° or more.
  • the common source may be a broadband light source.
  • the broadband light source may provide light over a range of about 50 nm or more, such as about 100 nm or more).
  • the measurement light may be selected to have a polarization and to be directed to be incident on the top surface of the thin film at an angle that cause it to substantially completely transmit through the top surface of the thin film and reflect from the interface between the thin film and the substrate (e.g., a Brewster's angle).
  • a dispersive optical element such as a diffraction grating or a prism, may be used to spectrally disperse the combined light along the second dimension of the detector.
  • the method may further include separating input light from the common source into the measurement and reference light. Furthermore, the method may further include reflecting the reference light from multiple mirrors after separating it from the input light and before combining it with the measurement light.
  • the measurement light may be directed to the measurement surface from the side of the measurement surface, and the method may further include using a photolithography tool to expose from above a photoresist at the measurement surface. For example, an alignment microscope to position the measurement surface relative to the photolithography tool. Also, the method may further include adjusting the angular orientation of the measurement surface relative to the photolithography tool based on the spatial infonnation about the measurement surface along the line of points determined by analyzing the spectrally dispersed light.
  • the measurement light may be directed to the line of points on the measurement surface by a fiber array. Furthermore, the measurement light and reference light may be combined with a second fiber array.
  • the method of claim may farther include providing the common source to emit light over a range of frequencies having multiple narrow-band emission peaks, and correlating the locations of the spectrally dispersed light along the second dimension of the detector with the spectral locations of the multiple narrow-band emission peaks to assist in the analysis of the spectrally dispersed light.
  • the method may further include repeating each of the steps for a different line of points on the measurement surface.
  • the invention features an interferometry system including: (i) an interferometry system configured to combine reference light with measurement light reflected from a line of points on a measurement surface, wherein the measurement light and the reference light are derived from a common source, and direct the combined light onto a detector to image the line of points on the measurement surface along a first dimension of the detector and to spectrally disperse the combined light corresponding to each point along a second dimension of the detector; and (ii) an electronic processor configured to analyze the spectrally dispersed light to determine spatial information about the measurement surface along the line of points.
  • Embodiments of the apparatus may include any feature corresponding to those described above with reference to the method.
  • the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source, and there is a non-zero optical path length difference between the measurement light and reference light that is greater than a coherence length of the measurement light.
  • the method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining spatial information about the measurement surface based on the spatially- varying intensity pattern, and outputting the spatial information.
  • determining the spatial information can include performing a spatial-frequency transform of the spatially-varying intensity pattern and spatial information is determined based on the spatial-frequency transform, such as a Fourier transform.
  • each interference signal is related to an optical path length difference between the reference light and measurement light reflected from a point on a line on the measurement surface corresponding to the section of the spatially- varying intensity pattern from which the interference signal is derived.
  • the spatial information can be information about a line of points on the measurement surface where measurement light reflected from the line of points is directed to a corresponding line of elements of the multi-element detector extending along a first dimension of the detector.
  • the measurement light reflected from each point on the line of points can be spectrally dispersed across a corresponding line of elements of the multielement detector extending along a second dimension of the detector.
  • the position of each detector element along the second dimension of the detector can correspond to a wavenumber of the measurement light.
  • Determining the spatial information can include performing a spatial-frequency transform for each point by transforming an intensity profile from the corresponding line of elements of the multi-element detector to produce an interference signal.
  • the interference signal for each point can include information about the optical path length difference between measurement light reflected from the point and the reference light combined with the measurement light reflected from the point.
  • the spatial information can be determined by analyzing the interference signal for each point to determine surface height variations along the line of points on the measurement surface.
  • the measurement surface can be on a measurement object comprising a thin film on a substrate, and wherein analyzing the interference signal for each point on the line comprises selecting a subset of the interference signal corresponding to the top surface of the thin film and analyzing the subset to determine a relative surface height for each point of the top surface of the thin film along the line.
  • the measurement light can have a coherence length that is less than the non-zero optical path length difference between the measurement light and the reference light.
  • the measurement light can be broadband measurement light.
  • the measurement light can be directed to reflect from the measurement surface at a grazing angle of incidence.
  • the measurement light can directed to reflect from the measurement surface at an angle of incidence of about 60° or more.
  • the measurement surface is on a measurement object comprising a thin film on a substrate.
  • the spatial information can correspond to a relative height profile for a surface of the thin film opposite the substrate.
  • the spatial information can correspond to a relative height profile of a surface of the thin film adjacent the substrate.
  • the measurement light can be in the visible region of the electromagnetic spectrum and/or outside the visible region of the electromagnetic spectrum.
  • the measurement light can have a spectral bandwidth of about 50 run or more.
  • the invention features a method that includes directing measurement light to reflect from a measurement surface at a grazing angle of incidence and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source.
  • the method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining spatial information about the measurement surface based on the spatially-varying intensity pattern, and outputting the spatial information.
  • Implementations of the method can include any of the features mentioned in regard to other aspects.
  • the invention features a method that includes directing measurement light to reflect from a measurement surface at a grazing angle of incidence and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source.
  • the method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, performing a spatial-frequency transform of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the spatial-frequency transform of the spatially-varying intensity pattern, and outputting the Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT spatial information. Implementations of the method can include any of the features mentioned in regard to other aspects.
  • the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source.
  • the method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining an interference signal for each of a plurality of one-dimensional sections of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the interference signals, and outputting the spatial information.
  • Implementations of the method can include any of the features mentioned in regard to other aspects.
  • the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source.
  • the method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially-varying intensity pattern, performing a spatial-frequency transform of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the spatial- frequency transform, and outputting the spatial information. Implementations of the method can include any of the features mentioned in regard to other aspects.
  • the invention features a system that includes a light source being configured to produce input light during operation of the system, a multielement detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface with the measurement light, combine the reference light with the measurement light reflected the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements.
  • the input light has a finite coherence length and the interferometer introduces an optical path length difference between the measurement light and the reference light that is greater than the coherence length of the input light.
  • the system also includes an electronic processor in communication with the PC H" 1 / ' U S O B ./ 39 G S 1.
  • Embodiments of the system can include one or more of the following features.
  • the electronic processor can be configured to perform a spatial-frequency transform of the spatially- varying intensity pattern and to determine the spatial information about the measurement surface based on the spatial-frequency transform.
  • the light source can be a broadband light source.
  • the light source can be a spatially- extended light source.
  • the interferometer can include optical elements (e.g., lenses and/or mirrors) configured to image the light source to the detector.
  • the optical elements can image the light source to the measurement surface and reimage the light source from the measurement surface to the detector.
  • the interferometer can be configured to preserve a relative orientation of the images of the light source at the detector formed by the measurement light and reference light, respectively.
  • the multi-element detector can include a two-dimensional array of detector elements and the dispersive optical element is configured to spectrally disperse the combined light along one of the array dimensions.
  • the dispersive optical element can include a grating (e.g., a transmission or reflection grating).
  • the dispersive optical element can include a prism.
  • the interferometer can direct the measurement light to the measurement surface at a grazing angle of incidence.
  • the interferometer can include a beam splitter positioned to separate the input light into the test and reference light.
  • the interferometer can include multiple mirrors positioned to reflect the reference light after separating it from the input light and before combining it with the measurement light.
  • the interferometer can be configured to direct the measurement light to the measurement surface from the side of the measurement surface, and the system can further include a photolithography tool configured to expose the measurement surface to radiation.
  • the system can include an alignment microscope for positioning the measurement surface relative to the photolithography tool.
  • the electronic processor can be configured to cause an adjustment of the angular orientation of the measurement surface relative to the photolithography tool based on the spatial information.
  • the interferometer includes a fiber array positioned to direct the measurement light to the measurement surface by a fiber array.
  • the interferometer can further include a second fiber array positioned to combine the measurement light and reference light.
  • the interferometer and electronic processor can be configured to repeat the analysis for additional locations of the measurement surface to determine spatial information about different portions of the measurement surface.
  • the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, a dispersive optical element, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a measurement surface with the measurement light at a grazing angle of incidence, combine the reference light with the measurement light reflected from the measurement surface, and direct the combined light to the dispersive optical element which spectrally disperses the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements.
  • the system also includes an electronic processor in communication with the detector, the electronic processor being configured to determine spatial information about the measurement surface based on the spatially- varying intensity pattern during operation of the system.
  • Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods.
  • the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface comprising a plurality of locations with the measurement light at a grazing angle of incidence, combine the reference light with the measurement light reflected from the locations of the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements.
  • the interferometer directs measurement light from each location of the measurement surface to a plurality of the detector elements.
  • the system also includes an electronic processor in communication with the detector, the electronic processor being configured to perform, for each location of the measurement surface, a spatial-frequency Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT transform of the spatially- varying intensity distribution across the corresponding detector elements and determine spatial information about the section of the measurement surface based on the spatial frequency transforms.
  • Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods.
  • the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface comprising a plurality of locations with the measurement light, combine the reference light with the measurement light reflected the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements.
  • the system also includes an electronic processor in communication with the detector, the electronic processor being configured to determine, for each location of the measurement surface, an interference signal from the spatially- varying intensity distribution across the corresponding detector elements and determine spatial information about the section of the measurement surface based on the interference signals.
  • Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods.
  • the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, a dispersive optical element, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a measurement surface with the measurement light, combines the reference light with the measurement light reflected from the measurement surface, and direct the combined light to the dispersive optical element which spectrally disperses the combined light onto the detector to detect a spatially-varying intensity pattern.
  • the system also includes an electronic processor in communication with the detector, the electronic processor being configured to perform a spatial-frequency transform of the spatially- varying intensity pattern and determine spatial information about the measurement surface based on the spatial-frequency transform.
  • Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods.
  • FIG. IA is a perspective view of an embodiment of an grazing-incidence interferometry system.
  • FIG. IB is a side view of a portion of the grazing-incidence interferometry system shown in FIG. IA.
  • FIG. 2A is a plan view of a detector showing a spectrally-decomposed light intensity pattern.
  • FIG. 2B is a plan view of a detector showing a spectrally-decomposed light intensity pattern modulated by an interference pattern.
  • FIG. 3 is a schematic flow diagram showing steps for acquiring an interference signal.
  • FIG. 4A is a plot of a simulated interference signal obtained by mechanical phase shifting.
  • FIG. 4B is a plot of a simulated spatially- varying intensity pattern.
  • FIG. 4C is a plot of an interference signal obtained from the simulated spatially- varying intensity pattern shown in FIG. 4B.
  • FIG. 5 is a plot of a broadband emission spectrum.
  • FIG. 6 is a perspective view of an embodiment of an grazing-incidence interferometry system.
  • FIG. 7 is a schematic view of an embodiment of an interferometry system.
  • FIG. 8 A is a cross-section of a measurement object including a substrate and an overlaying layer, e.g., a thin film.
  • FIG. 8B is a top view of the object shown in FIG. 8 A.
  • FIGs. 9A and 9B are examples of structures having copper interconnects.
  • FIGs. 9A and 9B show the structure before and after planarization, respectively.
  • FIG. 1OA and 1OB are examples of structures before and after solder bump processing.
  • FIG. 1OA shows the structure before addition of solder.
  • FIG. 1OB shows the structure after addition of solder but prior to flowing the solder.
  • FIG. 11 is a cross-section of a portion of a liquid crystal display.
  • a grazing-incidence interferometry system 100 includes a broadband light source 110, lenses 112, 114, 132 and 134, a beam splitter 120, mirrors 121, 122, 123, and 125, a beam combiner 130, a diffraction grating 140, and a detector 150.
  • Lenses 112 and 114 form a telecentric imaging system that images light source 110 onto a measurement surface an object 101.
  • An aperture stop 113 is positioned between lenses 112 and 114.
  • Lenses 132 and 134 form a telecentric imaging system that images the measurement surface onto detector 150.
  • the numerical aperture (NA) of the imaging system at detector 150 can vary.
  • the NA is relatively small (e.g., about 0.1 or less, about 0.05 or less, about 0.01 or less).
  • the light from light source 110 can be polarized (e.g., linearly polarized) or unpolarized.
  • Light from light source 110 propagates as an input beam 161, that is split into a measurement beam 160 and a reference beam 162 by beam splitter 120. After measurement beam 160 reflects from the measurement surface of object 101, it is recombined with reference beam 162 by beam combiner 130. The system is arranged so that there is a nonzero optical path length difference between measurement beam 160 and reference beam 162.
  • Combined beam 164 is directed to a diffraction grating 140, which reflects combined beam 164 and spectrally disperses the beam onto detector 150.
  • the spectral dispersion of combined beam 164 is also referred to as spectral decomposition.
  • the light in combined beam 164 from measurement beam 160 and reference beam 162 interfere at the surface of detector 150 producing a series of intensity fringes across the detector.
  • system 100 has a relatively low coherence length and interferometry system 100 introduces a non-zero optical path length difference Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT between measurement beam 160 and reference beam 162 that is larger than a coherence length of the system.
  • the telecentric imaging systems that image light source 110 onto the measurement surface and onto detector 150 are arranged to preserve the relative orientation of the respective images of light source 110 formed from the measurement light and reference light at detector 150. This preserves coherence of the measurement and reference light from different portions of the extended source at the detector, so that the beams can form an interference pattern for certain optical path length difference between the measurement and reference light.
  • object 101 is supported by a stage 170, which can be a movable stage.
  • FIG. IB also shows the path of measurement beam 160 relative to the surface normal of object 101. Being a grazing angle incidence interferometer, the chief rays of the system are incident on the measurement surface with a non-zero angle of incidence, ⁇ .
  • can be relatively large.
  • can be about 45° or more (e-g- 5 about 60° or more, about 75° or more).
  • the grazing-incidence geometry provides a working space directly above the measurement surface, allowing other instruments to be placed in close proximity to the measurement surface.
  • a microscope such as an alignment microscope, can be positioned to study the measurement surface while the measurement surface is in the path of measurement beam 160.
  • Detector 150 is a multi-element detector, such as a charge coupled device (CCD) array or a complimentary metal-oxide-semiconductor (CMOS) array. In general, the type of detector depends on the spectral content of the light used by the system.
  • CCD charge coupled device
  • CMOS complimentary metal-oxide-semiconductor
  • Light source 110 is a spatially-extended broadband light source.
  • light source 110 extends in one direction so that is illuminates a line of points on the measurement surface.
  • light source 110 can be composed of a plurality of point sources (e.g., light emitting diodes) or an extended single source (e.g., an extended fluorescent bulb). More generally, in some embodiments, a point source, rather than a spatially-extended source, can be used.
  • system 100 images source 110 to detector 150
  • system 100 can image light from a pupil plane of the system to the detector.
  • system 100 can include an aperture stop (e.g., positioned at or near a pupil plane) that defines an illumination pattern at the measurement surface.
  • a slit-shaped aperture stop can be used to define a spatially-extended source.
  • embodiments can include additional optical components (e.g., spectral and/or spatial filters, homogenizing optics) for manipulating light from the light source to provide a desired illumination pattern at the measurement surface and/or at the detector.
  • the spectral bandwidth of light source 110 can vary.
  • the spectral bandwidth refers to the full width at half maximum of the emission spectrum of the light source.
  • the spectral bandwidth of the light source can be about 20 nm or more (e.g., about 50 nm or more, about 100 nm or more, about 150 nm or more, about 200 nm or more, about 250 nm or more, about 300 nm or more).
  • the emission spectrum can include light in the visible portion of the electromagnetic spectrum and/or outside the visible portion of the electromagnetic spectrum (e.g., in the infrared and/or ultraviolet portions of the electromagnetic spectrum).
  • Diffraction grating 140 and the imaging system including lenses 132 and 134 are arranged relative to detector 150 so that light reflected from the line of points illuminated by light source 110 on the measurement surface in combined beam 164 is dispersed as a function of wavenumber along one dimension of detector 150.
  • the optical components are further arranged so that the orthogonal dimension of detector 150 corresponds to different points along the line on the measurement surface. This arrangement is illustrated by the axes in the FIG. 2A, where the intensity as a function of wavenumber corresponds to the source spectrum.
  • the interference phenomenon at detector 150 is constructive for all light wavelengths and the measured light distribution is similar to that shown in FIG. 2A.
  • the resulting spatially-varying light intensity distribution is shown schematically in FIG. 2B, in which the spectral distribution shown in FIG. 2A is modulated by a series of intensity fringes.
  • the optical path length difference, ⁇ can be varied by displacing one of the reference mirrors 121, 123, and/or 125 in a direction orthogonal to the plane of the mirror, and/or displacing object 101 in a the direction of surface normal 102.
  • one or more of reference mirrors 121, 123, and/or 125 can be affixed to an adjustable mount, which is configured to provide a user with the ability to modify ⁇ .
  • Detector 150 is in communication with an electronic processor 199, which analyzes intensity information from the detector to determine spatial information about the measurement surface of object 101.
  • the location of interference extrema is used to estimate the optical path length difference at each location along the illuminated line on the object. For example, in some embodiments, one can use a dense channel spectrum and apply
  • spatial-carrier phase measurement techniques to calculate a phase variation as a function of wavelength, the slope of which is proportional to the optical path length difference.
  • Spatial- carrier phase measurement techniques are described, for example, in commonly-owned U.S. Patent Publication No. US-2006-0187465 Al, entitled “INTERFEROMETRY SYSTEMS AND METHODS,” filed on February 15, 2006, the entire contents of which are incorporated herein by reference.
  • spatial information about the measurement surface is determined from a spatial-frequency transform (e.g., a Fourier transform such as a Discrete Fourier transform, a Laplace transform, a Hubert transform) of the intensity information from each column of detector elements.
  • a spatial-frequency transform e.g., a Fourier transform such as a Discrete Fourier transform, a Laplace transform, a Hubert transform
  • the intensity distribution for each column of detector elements can be Fourier transformed, yielding an interference signal as a function of optical path length difference.
  • the location of a signal of interest, corresponding to the interference signal due to measurement light reflecting from the measurement surface is directly proportional to the optical path length difference in the interferometer, ⁇ . Accordingly, spatial information about the measurement surface can be extracted from the PMC T/ U S O G/ 3 '9 B S 1.
  • Broadband light from source 110 has an emission spectrum shown, for example, by spectrum 300.
  • the spectrum measured by detector 150 for one object point is shown as spectrum 320.
  • Spectrum 320 includes a number of intensity fringes that modulate the spectral profile of the source.
  • Spectrum 320 corresponds to the intensity profile measured across a single column of detector elements. Each column of detector elements will measure a similar spectrum, corresponding to the different points in the line of the measurement surface imaged to the detector.
  • electronic controller 199 Fourier transforms the spectrum to produce an interference signal as shown by signal 330.
  • a portion of the intensity signal 340 is identified as the portion of the signal associate with the measurement surface.
  • the interference signal obtained by Fourier transforming the spectrally decomposed intensity profile is identical to an interference signal obtained, for example, using a mechanical scan.
  • This equivalence is illustrated mathematically as follows. For a broadband emission spectrum, the interference signal measured on a detector during a mechanical scan over a range of optical path length differences is determined by summing over all wavelengths and can be expressed as
  • Ic is as defined previously, 2z is the optical path length difference between the measurement and reference arms of the interferometer, A(k) is the background light intensity, B(Ic) is the modulating intensity, ⁇ (k) is a phase offset that includes all phase changes on transmission and reflection in the interferometer for the specific wavenumber, Ic.
  • Eq. (1) can be rewritten as Attorney Docket No. 09712-418WO1 Client Reference No. 2 ⁇ -531 PCT
  • SI(k) A(K) + B(k) cos(k2z 0 + ⁇ (k)) .
  • the coherence length of the system should be less than the optical path length difference between the measurement and reference beams so that the modulation in the interference signal at ZQ is separated from the modulation at z — 0.
  • the larger the value of Z 0 the higher the frequency of region of interest of the interference signal will be.
  • the sign of the system is related to the sign of the optical path length difference. In other words, the sign of the system will change depending on whether the measurement beam path is larger than the reference beam path or vice versa.
  • FIGs. 4A-4C the equivalence of an interference signal obtained by Fourier transforming a spectral decomposition signal and a conventionally-obtained interference signal is illustrated by a simulated signal for a 450 nm thick SiO 2 layer on a Cu substrate.
  • the interferometer had a sufficiently low NA that spatial coherence effects could be ignored, the chief rays of the interferometer were normally incident on the substrate surface, and the source had a central emission wavelength of 550 nm and a FWHM of 200 nm with a Gaussian shape as a function of k.
  • FIG. 4A shows the simulated signal obtained using a mechanical scan calculated using Eq. (2).
  • topSlice identifies the trumpet-shaped leading edge of the signal, and ascribes this to the top surface profile.
  • CTA correlation template analysis
  • electronic processor can perform a variety of additional operations in the process of determining the spatial information about the measurement surface.
  • the spectral distribution measured by the detector is resampled (e.g., by interpolation of spatially- varying intensity pattern data) if needed to provide spectral sampling with a fixed wavenumber increment Ak between adjacent detector elements in each column of elements.
  • the electronic processing can include operations that reduce or eliminate certain system errors, including, for example, source spectrum distortions and nonlinear dispersion in the interferometer.
  • these methods operate on an interference signal to produce a corresponding repaired signal in which the effects of system errors are reduced (e.g., eliminated).
  • a system characterization procedure determines the system errors by direct measurement of these errors in the frequency domain in terms of the amplitudes and phases of the transform coefficients.
  • the interference signal from the measurement surface is corrected, where the known phase and amplitude system errors are removed by subtraction, division or other data manipulation. The corrected data is then transformed back to provide a repaired interference signal from which spatial information about the measurement surface can be determined.
  • the methods described above include a calibration that provides information about the spectroscopic detection system.
  • the correspondence between a particular vertical location on the detector and the corresponding wavelength or wavenumber is established in the form of a wavelength mapping function.
  • a ' baseline calibration of the optical system can be performed using, for example, one or more narrowband filters which allow one to establish the location on the detector that the corresponding calibration wavelengths are directed to.
  • the mapping of the spectral range of interest can thus be calibrated in as much details as required for the target measurement accuracy.
  • Such a mapping function can be used, for example, where imaging aberrations of Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT the optical system may introduce relative displacements of the location of a given spectral component as a function of the location on the object.
  • variations of the wavelength mapping function can be monitored in situ.
  • the light source can be selected or constructed by combination of multiple light source outputs such that multiple sharp emission lines are present within the spectral domain covered by the detector.
  • An exemplary spectrum is shown in FIG. 5, where the emission spectrum includes peaks at /c 0 , k ⁇ , and /c 2 , for example, that can be used to map variations of the wavelength mapping function. These lines are identified and their position monitored at every exposure of the detector, providing real time correction of the mapping function if required.
  • the processing can be configured to ignore the locations where the lines are present, for example, by interpolating between nearby spectral components.
  • Tracking the location of known spectral lines is also a means of estimating the overall height offset of a measurement surface.
  • a large height offset of the measurement surface can introduce a shift of the image of the projected line once imaged onto the camera.
  • a beam block interrupting alternatively the measurement and reference beams provides two spectral images. The relative location of the known spectral lines in these two images can provide information about the height offset.
  • the spatial information is a profile of a section of the measurement surface corresponding to the line of points imaged to the detector.
  • the spatial information can include other parameters characterizing the measurement object.
  • the spatial information can include location and orientation (e.g., piston, tip and/or tilt with respect to the interferometer) of a measurement surface, hi some embodiments, the spatial information can be used to identify a specific material or structure in the measurement object.
  • object 101 may include a substrate that is covered by a thin film structure (e.g., a photoresist on substrate, which may itself include one or more layers) and the techniques can be used to determine information about a surface at the interface between the thin film structure and the underlying substrate.
  • a thin film structure e.g., a photoresist on substrate, which may itself include one or more layers
  • input beam 161 can be polarized so that measurement beam 160 is substantially /"-polarized at the measurement surface and is incident on the measurement object at Brewster's angle.
  • a minimal amount of measurement beam radiation reflects from the top surface of measurement object 101, and most is transmitted through the top layer of the object.
  • the transmitted radiation can be reflected by an interface between layers of object 101. Subsequent analysis of the combined beam provides spatial information about this interface, rather than the top surface of measurement object 101.
  • system 100 can be used to determine spatial information about a two-dimensional area for the measurement surface.
  • the position of the measurement object can be scanned (e.g., by moving stage 170) with respect to the measurement light while detector 150 acquires a series of frames of the spatially- varying intensity pattern.
  • spatial information about a corresponding line of points on the measurement surface can be determined.
  • the spatial information for the different lines of points can be combined to provide spatial information about an area of the surface.
  • the spatial information can be output in a variety of ways.
  • the spatial information can be output graphically or numerically to an electronic display or a printer.
  • the spatial information can be output to memory (e.g., to random access memory or written to non-volatile magnetic, optical, or other memory).
  • the spatial information can be output to a control system, such a wafer handling control system, which can adjust its operation based on the spatial info ⁇ nation. For example, the system can adjust the position or orientation of the measurement object based on the spatial information.
  • the techniques described herein can include a number of advantages. For example, one benefit of this type of approach is readily apparent when measuring over a transparent film that is thicker than half the coherence length of the light source. In this case the top and bottom interfaces of the film generate light reflections that are combined in the spectral domain. However, in the OPD domain one observes two separated finite-width signals. It is then straightforward to determine the position of the top interface by processing the corresponding signal while ignoring the signal due to the bottom interface. IP' C T ' ,/ IJ S O B ./ ⁇ IP9 G S 1.
  • spatial information can be acquired rapidly and without mechanical adjustment of the system.
  • spatial information is acquired from a single frame of the detector, and without mechanical phase shifting.
  • Another benefit of the type of approach is the fact that one can rectify the shape of the emission spectrum before Fourier transformation, which allows shaping optimally the modulation envelope of the signal of interest in the spatial domain. For example, additional information for this step of the processing can be gathered by having a beam block close the measurement leg so that only the reference spectral distribution is recorded at the detector.
  • a further possible benefit of the configuration shown in FIG. IA is the fact that the light bounces at a high angle of incidence from the measurement surface, which minimizes the influence of transparent layers present on the surface of object 101 when establishing the topography of the top surface.
  • Another possible benefit of the configuration is that direct observation of the object surface near normal incidence is un-obstructed, allowing other sensors to look at the object surface where it is being profiled (for example, an alignment microscope).
  • interferometry system 100 utilizes a reflective diffraction grating
  • a variety of optical elements can be used to spectrally-disperse the output beam onto the detector.
  • a transmission grating can be used.
  • a non-diffractive dispersive element such as a prism, can also be used.
  • system 100 can utilize a monochromatic source rather than a broadband source.
  • a low coherence length system can be produced by utilizing spatial coherence phenomena related to, e.g., the range of angles of incidence of light illuminating the measurement surface.
  • high NA imaging can be used to image a pupil plane of the system to the detector. In this way, different locations on the detector correspond to different angles of incidence of the measurement light on the measurement surface. No dispersive element is necessary as dispersal of the combined beam into different wavevectors is performed by the imaging optics.
  • the NA of the imaging systems can be about 0.15 or more (e.g., about 0.2 or more, about 0.3 or more, about 0.4 or more).
  • system 100 can include both a broadband source and high NA imaging.
  • Interferometry system 100 utilizes free space optics to direct light from source 110 to detector 150.
  • configurations that utilize one or more waveguides can also be used.
  • FIG. 6 shows interferometry system 200 which includes single mode fiber arrays to create and collect measurement beams.
  • interferometry system 200 includes a broadband light source 210, and a lens 212, which is configured to couple light from source 210 into a fiber beamsplitter 214.
  • Fiber beamsplitter 214 splits the light into measurement light and reference light.
  • the measurement light is directed through a fiber to another fiber beamsplitter 216, which directs the measurement light along multiple fibers that form a collimated fiber array 202.
  • Fiber array 202 is position to illuminate a measurement object 201 with measurement light at a grazing angle of incidence.
  • Light reflected from measurement object 201 is coupled into another collimated fiber array 204, which guides the light along fibers to beam combiners 222, 224, and 226.
  • beam combiners combine the measurement light with corresponding reference light that is directed via beamsplitter 214 and another beamsplitter 218 along a series of fibers.
  • a further collimated fiber array 206 illuminates a prism 230 with the combined light.
  • Prism 230 spectrally disperses the combined light onto a multi-element detector 240. Analysis of the detected intensity pattern proceeds as described previously in connection with system 100.
  • interferometry system 200 can allow for greater flexibility in the location of the various components of the instrument relative to configurations that utilize exclusively free space optics..
  • a interferometry system 700 is configured as a Michelson interferometer which directs measurement light to a measurement object 750 at a normal angle of incidence.
  • Interferometry system 700 includes a broadband light source 710, a collimating lens 720, and a beamsplitter 730 that splits a collimated input beam into measurement beam and a reference beam.
  • the measurement beam reflects from measurement object 750, while the reference beam reflects from a reference object.
  • the reflected beams are recombined at beamsplitter 730 and directed W
  • Any of the analysis methods described above can be implemented in computer hardware or software, or a combination of both.
  • the methods can be implemented in computer programs using standard programming techniques following the method and figures described herein.
  • Program code is applied to input data to perform the functions described herein and generate output information.
  • the output information is applied to one or more output devices such as a display monitor.
  • Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system.
  • the programs can be implemented in assembly or machine language, if desired. In any case, the language can be a compiled or interpreted language.
  • the program can run on dedicated integrated circuits preprogrammed for that purpose.
  • Each such computer program is preferably stored on a storage medium or device
  • the computer program can also reside in cache or main memory during program execution.
  • the analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • the interferometry techniques described above may be used for a variety of surface analysis problems, such as analyzing simple thin films; multilayer thin films; sharp edges and surface features that diffract or otherwise generate complex interference effects; unresolved surface roughness; unresolved surface features, for example, a sub-wavelength width groove on an otherwise smooth surface; dissimilar materials; polarization-dependent properties of the surface; and deflections, vibrations or motions of the surface or deformable surface features that result in incident-angle dependent perturbations of the interference phenomenon.
  • the variable parameter of interest may be the film thickness, the refractive index of the film, the refractive index of the substrate, or some IPC T/ U S O IB / 3 '9 IB 81
  • an object 30 includes a substrate, e.g., a wafer, 32 and an overlying layer, e.g., photoresist layer 34.
  • Object 30 includes a plurality of interfaces as occur between materials of different refractive index.
  • an object-surroundings interface 38 is defined where an outer surface 39 of photoresist layer 34 contacts the environment surrounding object 30, e.g., liquid, air, other gas, or vacuum.
  • a substrate-layer interface 36 is defined between a surface 35 of wafer 32 and a bottom surface 37 of photoresist layer 34.
  • Surface 35 of the wafer may include a plurality of patterned features 29. Some of these features have the same height as adjacent portions of the substrate but a different refractive index. Other features may extend upward or downward relative to adjacent portions of the substrate. Accordingly, interface 36 may exhibit a complex, varying topography underlying the outer surface of the photoresist.
  • a photolithography apparatus images a pattern onto the object. For example, the pattern may correspond with elements of an electronic circuit (or the negative of the circuit). After imaging, portions of the photoresist are removed revealing the substrate underlying the removed photoresist. The revealed substrate can be etched, covered with deposited material, or otherwise modified. Remaining photoresist protects other portions of the substrate from such modification.
  • more than one device is sometimes prepared from a single wafer.
  • the devices may be the same or different.
  • Each device requires that a subset of the wafer be imaged with a pattern.
  • the pattern is sequentially imaged onto different subsets. Sequential imaging can be performed for several reasons. Optical aberrations can prevent achieving adequate pattern focus quality over larger areas of the wafer. Even in the absence of optical aberrations, the spatial properties of the wafer and photoresist may also prevent achieving adequate pattern focus over large areas of the wafer. Aspects of the relationship between the spatial properties of the wafer/resist and focus quality are discussed next. Referring to back to FIG.
  • object 30 is shown with a number N subsets 4Oj, each smaller than a total area 41 the object to be imaged.
  • spatial property Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT variations e.g., height and slope variations of the wafer or photoresist, are typically smaller than when taken over the total area 41. Nonetheless, the wafer or photoresist of different subsets 40; typically have different heights and slopes.
  • layer 34 exhibits thicknesses At 1 and ⁇ t 2 , which vary the height and slope of surface 39 (FIG. 8A).
  • each subset of the object may have a different spatial relationship with the photolithography imager.
  • the quality of focus is related to the spatial relationship, e.g., the distance between the object and the photolithography imager. Bringing different subsets of the object into proper focus may require relative repositioning of the object and imager. Because of the object height and slope variations, proper subset focus cannot be achieved solely by determining the position and orientation of the obj ect with respect to a portion of the object that is remote to the imaged subset, e.g., a side 43 of the object.
  • Proper focus can be achieved by determining a spatial property of an object within a subset of the object to be imaged (or otherwise processed). Once the position of the subset has been determined, the object (and/or a portion of the photolithography imager) can be moved, e.g., translated, rotated, and/or tilted, to modify the position of the subset with respect to a reference, e.g., a portion of the photolithography imager. The determination and movement (if necessary) can be repeated for each subset to be imaged.
  • the determination of the spatial property of the subset can include determining a position and/or height of one or more points of an outer surface of a thin layer of the object, the one or more points lying within the subset of the object to be imaged. For example, the position and orientation of the outer surface 39 of subset 4O 2 can be determined based upon the positions of points 42i-42 3 within the subset.
  • the determination of the spatial property of the subset to be imaged can include using an interferometer to illuminate the subset with light and detecting an interference signal including light reflected from the illuminated subset. In some embodiments, a plurality of subsets are simultaneously imaged with light to obtain a plurality of interference signals. Each interference signal is indicative of one or more spatial properties of a subset.
  • the interference signals can be used to prepare an image indicative of the topography of the object over a plurality of the subsets.
  • the wafer is positioned based upon the topography of the individual subsets as determined from the plurality of interference signals.
  • each subset can be positioned for optimum focus with respect to the photolithography apparatus.
  • Detecting an interference signal from each subset of an object to be imaged can include detecting light reflected from the subset and reference light over an OPD range that is at least as large as a coherence length of the detected light. For example, the light may be detected at least over its coherence length.
  • the interferometer is configured so that the light reflected from the illuminated subset is dominated by light reflected from either an outer interface (such as outer surface 39) or an inner interface (such as interface 36).
  • a spatial property of an object is dete ⁇ nined based on only a portion of the interference signal. For example, if the interference signal includes two or more overlapping interference patterns, a spatial property of the object can be determined based upon a portion of one of the interference patterns that is dominated by contributions from a single interface of the object.
  • the techniques disclosed herein can be used to characterize copper interconnect structures, e.g., in conjunction with chemical mechanical polishing of the structures. It is becoming common among chip makers to use the so-called “dual damascene copper” process to fabricate electrical interconnects between different parts of a chip. This is an example of a process which may be effectively characterized using a suitable surface , topography system.
  • the dual damascene process may be considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the wafer surface and small vias running from the bottom of the trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (and possibly via
  • a device 500 is exemplary of the a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501.
  • PCT dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong.
  • Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504.
  • the device 500 may include a plurality of other features that also generate interference patterns.
  • a device 500' illustrates the state of device 500 after the final CMP step.
  • the upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the surroundings. Interface 510 at the substrate surface remains intact.
  • Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slurry composition), as well as on the local detailed arrangement (i.e., orientation, proximity and shape) of copper and surrounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506.
  • the dielectric and copper elements may exhibit different etch rates.
  • This "position dependent polishing rate” is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a "wafer scale” process nonuniformity-- i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities. This leads to a phenomenon known as "CMP induced erosion" in the high copper density regions.
  • chip scale process non-uniformity— i.e., one occurring on a length scale comparable to (and sometimes much less than) the linear dimensions of a single chip.
  • ishing Another type of chip scale nonuniformity, known as “dishing", occurs within single copper filled trench regions (which tend to polish at a higher rate than the surrounding dielectric material).
  • For trenches greater Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT than a few microns in width dishing may become severe with the result that affected lines later exhibit excessive electrical resistance, leading to a chip failure.
  • CMP induced wafer and chip scale process nonuniformities are inherently difficult to predict, and they are subject to change over time as conditions within the CMP processing system evolve.
  • To effectively monitor, and suitably adjust the process conditions for the purpose of ensuring that any nonuniformities remain within acceptable limits it is important for process engineers to make frequent non-contact surface topography measurements on chips at a large number and wide variety of locations. This is possible using embodiments of the interferometry methods and systems described above.
  • the polishing conditions can be changed to achieve the desired planar surface 506'.
  • the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties.
  • the spatial property can again be determined and the polishing conditions changed as needed.
  • the topography and/or thickness is also indicative of the end-point at which, e.g., surface 504' is achieved.
  • the low coherence interference signals can be used to avoid depressions caused by over polishing different regions of the object.
  • a structure 550 is exemplary of a structure produced during solder bump processing.
  • Structure 550 includes a substrate 551, regions 502 non-wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501.
  • structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate of the spatial properties using known interference techniques. Application of the systems and methods discussed herein allow the spatial properties to be determined.
  • Spatial information determined from structure 550 can be used to change manufacturing conditions, such as deposition times for layers 502,503 and the amount of solder 504 used per area of region 503. Additionally, heating conditions used to flow the solder can also be changed based on the spatial properties to achieve adequate flow and or prevent migration of the solder.
  • a passive matrix LCD 450 for example, is composed of several layers.
  • the main parts are two glass plates 452,453 connected by seals 454.
  • a polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453.
  • An Indium Tin Oxide (ITO) layer 458 is used as an electrode.
  • a passivation layer 460 sometimes called hard coat layer, based on SiO x is coated over the ITO 458 to electrically insulate the surface.
  • Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464.
  • the liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied.
  • the liquid crystal is also optically active and rotates the polarization direction of the incoming light.
  • the cell gap ⁇ g i.e., thickness of the liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance.
  • the polarized light is rotated 9O.degree. as it passes through the liquid crystal layer 464.
  • an electric potential is applied from one plate to the other plate the light is not rotated. After the light has passed Attorney Docket No.
  • the cell 450 may include filters 476 or other colorizing elements to provide a color display.
  • the cell gap ⁇ g determines to a great extent the optoelectrical properties of the LCD, e.g., the contrast ratio and brightness.
  • Cell gap control during manufacturing is critical to obtaining uniform, quality displays.
  • the actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453. Both before and after adding the liquid crystal medium 464, surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative of the cell gap ⁇ g.
  • the low coherence nature of the interference signal can be used to monitor properties of the cell including the cell gap ⁇ g during manufacture even in the presence of interfaces formed by other layers of the cell.
  • An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative of the cell gap ⁇ g prior to adding layer 464.
  • the cell gap (or other spatial property of the cell) is determined from the interference patterns and can be compared to a specified value. Manufacturing conditions, e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap ⁇ g if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap.
  • Liquid crystal medium is then introduced into the cell.
  • the amount of liquid crystal medium to be added can be determined from the measured spatial property of the cell. This can avoid over- or underfilling the cell.
  • the filling process can also be monitored by observing interference signals from the surfaces 480,482.
  • additional low coherence interference patterns are obtained to monitor the cell gap ⁇ g (or other spatial property).
  • the manufacturing conditions can be changed so that the cell gap is maintained or brought within tolerances.
  • the techniques described herein can be implemented in manufacturing that involve laser scribing and cutting. For example, lasers can be used to IPC T/ 1 U Sl O B/ 3 1Q i Ei. S :IL
  • An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines.
  • low coherence interference signals can be used to determine the depth of scribe.
  • Other scribing conditions are known, e.g., laser spot size, laser power, translation rate.
  • the scribe depth can be determined from the interference signals.
  • the quality of separation as a function of the scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined.
  • low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances.
  • Other embodiments are in the claims.

Abstract

A method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source, and there is a non-zero optical path length difference between the measurement light and reference light that is greater than a coherence length of the measurement light. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially-varying intensity pattern, determining spatial information about the measurement surface based.on the spatially-varying intensity pattern, and outputting the spatial information.

Description

INTERFEROMETRY METHOD AND SYSTEM INCLUDING SPECTRAL DECOMPOSITION
CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims priority to Provisional Patent Application No. 60/726,005, entitled "INTERFEROMETRY METHOD AND SYSTEM INCLUDING SPECTRAL
DECOMPOSITION," filed on October 11, 2005, the entire contents of which is incorporated herein by reference.
BACKGROUND Interferometric techniques are commonly used to measure the profile of a surface of an object. To do so, an interferometer combines a measurement wavefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an interferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface. A scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs of the interferometer over a range comparable to, or larger than, the coherence length of the interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram. A limited (or "low") coherence length can be produced, for example, by using a broadband light source (e.g., a white light source), which is referred to as scanning white light interferometry (SWLI). A typical SWLI signal is a few fringes localized near the zero optical path length difference (OPD) position. The signal is typically characterized by a sinusoidal carrier modulation (the "fringes") with bell-shaped fringe-contrast envelope. The conventional idea underlying SWLI metrology is to make use of the localization of the fringes to measure surface profiles. Low-coherence interferometry signals can also be produced with narrow band light that illuminates an object over a wide range of angles, such as, for example, in imaging interferometers that have a high numerical aperture.
Techniques for processing low-coherence interferometry signals include two principle trends. The first approach is to locate the peak or center of the envelope, assuming that this position corresponds to the zero optical path length difference (OPD) of a two-beam interferometer for which one beam reflects from the measurement surface. The second Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT approach is to transform the signal into the frequency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No. 5,398,113 to Peter de Groot, the entire contents of which are incorporated herein by reference. This latter approach is referred to as Frequency Domain Analysis (FDA).
Unfortunately such assumptions may break down when applied to a measurement object having a thin film because of reflections by the top surface and the underlying film/substrate interface. Recently a method was disclosed in U.S. Patent 6,545,763 to S.W. Kim and G.H. Kim to address such structures. The method fit the frequency domain phase profile of a SWLI signal for the thin film structure to an estimated frequency domain phase profile for various film thicknesses and surface heights. A simultaneous optimization determined the correct film thickness and surface height.
One useful application of such surface profiling techniques is the profiling of lithography wafers covered with photoresist. The goal in this case is to determine the topography of the top surface of the photoresist over a patterned substrate and to provide information about the location of this surface with respect to some system datum, one application of which is to establish the position of best focus during the photolithographic process. See, for example, commonly owned U.S. Patent Application Publication No. 2005/0057757 Al entitled "LOW COHERENCE GRAZING INCIDENCE INTERFEROMETRY SYSTEMS AND METHODS" by Xavier Colonna de Lega et al., the contents of which are incorporated herein by reference.
SUMMARY
This disclosure relates to using interferometry to measure spatial information and/or other characteristics of objects. The objects can include a complex surface structure, such as thin film(s), discrete structures of dissimilar materials, or discrete structures that are underresolved by the optical resolution of an interference microscope. Such measurements are relevant to the characterization of flat panel display components, semiconductor wafer metrology, and in-situ thin film and dissimilar materials analysis, for example. ha embodiments disclosed herein, spatial information of a measurement surface (also referred to as the object or measurement surface), such as the top surface of a thin film Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT structure, is established using white-light grazing incidence interferometry with detection in the spectral domain. The disclosed systems and methods are suitable for determining the location and orientation (piston, tip and tilt with respect to the interferometer) of a measurement surface that may be covered by a thin film structure (e.g., a photoresist on substrate, which may itself include one or more layers). Such information can be used, for example, to optimally position a photoresist on wafer with respect to a photolithography tool.
Typically, in embodiments, the interferometer uses a spectrally broadband and/or spatially extended light source, which results in an interference pattern that has significantly reduced sensitivity to the influence of the underlying layers in the case of a coated surface compared to a monochromatic point source. Another aspect of the interferometer is the use of a 2-dimensional multi-element detector to map with a single exposure the topography of a line of points on a measurement surface. One dimension on the detector corresponds to the line of object positions while the other dimension corresponds to a range of wavenumbers of the light source. The use of grazing incidence can provide an un-obscured view of the measurement surface, allowing other sensors (e.g., an alignment scope in the photolithography tool) to observe the object near nonnal incidence.
Additional camera exposures can be recorded for different measurement surface locations, providing spatial information about a two-dimensional area of the measurement surface instead of a single line.
In certain embodiments, the light source spectrum can include a number of sharp emission lines that are used to provide calibration information for the optical system. hi some embodiments, the light is linearly polarized in the plane of incidence, illuminating the measurement surface at or near Brewster's angle. In this case the substrate surface itself, rather than the top surface of the thin film on the substrate, is profiled by the interferometer.
Various aspects of the invention are summarized as follows.
In general, in one aspect, the invention features an interferometry method including: (i) combining reference light with measurement light reflected from a line of points on a measurement surface, wherein the measurement light and the reference light are derived from a common source; (ii) directing the combined light onto a detector to image the line of points P C T/' U S Oi. IS. /" 3 "i IB Si .1
Attorney Docket No. 09712-418WO1 Client Reference No. Zr531 PCT on the measurement surface along a first dimension of the detector and to spectrally disperse the combined light corresponding to each point along a second dimension of the detector; and (iii) analyzing the spectrally dispersed light to determine spatial information about the measurement surface along the line of points. Embodiments of the method may include any of the following features.
The method may further include providing a difference in optical path from the source to the detector between the measurement light and the reference light, wherein the difference in optical path and the range of frequencies produced by the common source are large enough to produce a series of intensity peaks in the spectrally dispersed light along the second dimension of the detector. For example, at least three of the peaks in the series have an intensity greater than half of the intensity of the most-intense peak in the series.
The method may further include analyzing the spectrally dispersed light includes transforming intensity information (e.g., by Fourier transformation) about the spectrally dispersed light from each point on the line to produce an interference signal. For example, analyzing the spectrally dispersed light may further include analyzing the interference signal for each point on the line to determine surface height variations along the line of the measurement surface. Furthermore, the measurement surface may be on a measurement object including a thin film on a substrate, and analyzing the interference signal for each point on the line may include selecting a subset of the interference signal corresponding to the top surface of the thin film and analyzing the subset to determine a relative surface height for each point on the top surface of the thin film along the line.
The measurement surface may be on a measurement object including a thin film on a substrate (which itself may include one or more layers). The spatial information may correspond, for example, to a relative height profile for the top surface of the thin film. Alternatively, the spatial information may correspond, for example, to a relative height profile for the interface between the thin film and the substrate. For example, in the latter case, the measurement light may be selected to have a polarization and to be directed to be incident on the top surface of the thin film at an angle that cause it to substantially completely transmit through the top surface of the thin film and reflect from the interface between the thin film and the substrate (e.g., a Brewster's angle). IP CT/ U S OG /39 BSl
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
The measurement and reference light may be in the visible region of the electromagnetic spectrum. Alternatively, the measurement and reference light may be outside the visible region of the electromagnetic spectrum. Specifically, by using the word "light" we do not mean to limit electromagnetic radiation to that that is visible. The method may further include combining of the measurement and reference light using a grazing-incidence interferometer. For example, the measurement light may be directed to the measurement surface at an angle of incidence of about 60°, such as about 75° or more.
The common source may be a broadband light source. For example, the broadband light source may provide light over a range of about 50 nm or more, such as about 100 nm or more).
The measurement light may be selected to have a polarization and to be directed to be incident on the top surface of the thin film at an angle that cause it to substantially completely transmit through the top surface of the thin film and reflect from the interface between the thin film and the substrate (e.g., a Brewster's angle).
A dispersive optical element, such as a diffraction grating or a prism, may be used to spectrally disperse the combined light along the second dimension of the detector.
The method may further include separating input light from the common source into the measurement and reference light. Furthermore, the method may further include reflecting the reference light from multiple mirrors after separating it from the input light and before combining it with the measurement light.
The measurement light may be directed to the measurement surface from the side of the measurement surface, and the method may further include using a photolithography tool to expose from above a photoresist at the measurement surface. For example, an alignment microscope to position the measurement surface relative to the photolithography tool. Also, the method may further include adjusting the angular orientation of the measurement surface relative to the photolithography tool based on the spatial infonnation about the measurement surface along the line of points determined by analyzing the spectrally dispersed light.
The measurement light may be directed to the line of points on the measurement surface by a fiber array. Furthermore, the measurement light and reference light may be combined with a second fiber array. Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
The method of claim may farther include providing the common source to emit light over a range of frequencies having multiple narrow-band emission peaks, and correlating the locations of the spectrally dispersed light along the second dimension of the detector with the spectral locations of the multiple narrow-band emission peaks to assist in the analysis of the spectrally dispersed light.
The method may further include repeating each of the steps for a different line of points on the measurement surface.
In general, in another aspect, the invention features an interferometry system including: (i) an interferometry system configured to combine reference light with measurement light reflected from a line of points on a measurement surface, wherein the measurement light and the reference light are derived from a common source, and direct the combined light onto a detector to image the line of points on the measurement surface along a first dimension of the detector and to spectrally disperse the combined light corresponding to each point along a second dimension of the detector; and (ii) an electronic processor configured to analyze the spectrally dispersed light to determine spatial information about the measurement surface along the line of points.
Embodiments of the apparatus may include any feature corresponding to those described above with reference to the method.
In general, in a further aspect, the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source, and there is a non-zero optical path length difference between the measurement light and reference light that is greater than a coherence length of the measurement light. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining spatial information about the measurement surface based on the spatially- varying intensity pattern, and outputting the spatial information.
Implementations of the method can include one or more of the following features. For example, determining the spatial information can include performing a spatial-frequency transform of the spatially-varying intensity pattern and spatial information is determined based on the spatial-frequency transform, such as a Fourier transform. The spatial-frequency P C T/ II. J S O Ei / 3 '9IS B .1
Attorney Docket No. 09712-418WO1 Client Reference No. Zr 531 PCT transform can provide a corresponding interference signal for each of a number of points of the measurement surface and determining the spatial information comprises analyzing a portion of each interference signal corresponding to a non-zero optical path length difference between the measurement light and reference light. The spatial-frequency transfonn can be performed for each of a plurality of one-dimensional sections of the spatially- varying intensity pattern to provide a corresponding interference signal for each section. In some embodiments, each interference signal is related to an optical path length difference between the reference light and measurement light reflected from a point on a line on the measurement surface corresponding to the section of the spatially- varying intensity pattern from which the interference signal is derived.
The spatial information can be information about a line of points on the measurement surface where measurement light reflected from the line of points is directed to a corresponding line of elements of the multi-element detector extending along a first dimension of the detector. The measurement light reflected from each point on the line of points can be spectrally dispersed across a corresponding line of elements of the multielement detector extending along a second dimension of the detector. The position of each detector element along the second dimension of the detector can correspond to a wavenumber of the measurement light. Determining the spatial information can include performing a spatial-frequency transform for each point by transforming an intensity profile from the corresponding line of elements of the multi-element detector to produce an interference signal. The interference signal for each point can include information about the optical path length difference between measurement light reflected from the point and the reference light combined with the measurement light reflected from the point. The spatial information can be determined by analyzing the interference signal for each point to determine surface height variations along the line of points on the measurement surface. The measurement surface can be on a measurement object comprising a thin film on a substrate, and wherein analyzing the interference signal for each point on the line comprises selecting a subset of the interference signal corresponding to the top surface of the thin film and analyzing the subset to determine a relative surface height for each point of the top surface of the thin film along the line.
Figure imgf000009_0001
Attorney Docket No.09712-418WO1 Client Reference No. Z-531 PCT
The measurement light can have a coherence length that is less than the non-zero optical path length difference between the measurement light and the reference light. The measurement light can be broadband measurement light.
The measurement light can be directed to reflect from the measurement surface at a grazing angle of incidence. The measurement light can directed to reflect from the measurement surface at an angle of incidence of about 60° or more.
In some embodiments, the measurement surface is on a measurement object comprising a thin film on a substrate. The spatial information can correspond to a relative height profile for a surface of the thin film opposite the substrate. The spatial information can correspond to a relative height profile of a surface of the thin film adjacent the substrate.
The measurement light can be in the visible region of the electromagnetic spectrum and/or outside the visible region of the electromagnetic spectrum. The measurement light can have a spectral bandwidth of about 50 run or more.
In general, in another aspect, the invention features a method that includes directing measurement light to reflect from a measurement surface at a grazing angle of incidence and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining spatial information about the measurement surface based on the spatially-varying intensity pattern, and outputting the spatial information.
Implementations of the method can include any of the features mentioned in regard to other aspects.
In general, in a further aspect, the invention features a method that includes directing measurement light to reflect from a measurement surface at a grazing angle of incidence and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, performing a spatial-frequency transform of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the spatial-frequency transform of the spatially-varying intensity pattern, and outputting the Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT spatial information. Implementations of the method can include any of the features mentioned in regard to other aspects.
In general, in another aspect, the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially- varying intensity pattern, determining an interference signal for each of a plurality of one-dimensional sections of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the interference signals, and outputting the spatial information.
Implementations of the method can include any of the features mentioned in regard to other aspects.
In general, in a further aspect, the invention features a method that includes directing measurement light to reflect from a measurement surface and combining the reflected measurement light with reference light, where the measurement light and reference light are derived from a common source. The method further includes spectrally dispersing the combined light onto a multi-element detector to detect a spatially-varying intensity pattern, performing a spatial-frequency transform of the spatially-varying intensity pattern, determining spatial information about the measurement surface based on the spatial- frequency transform, and outputting the spatial information. Implementations of the method can include any of the features mentioned in regard to other aspects.
In general, in another aspect, the invention features a system that includes a light source being configured to produce input light during operation of the system, a multielement detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface with the measurement light, combine the reference light with the measurement light reflected the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements. The input light has a finite coherence length and the interferometer introduces an optical path length difference between the measurement light and the reference light that is greater than the coherence length of the input light. The system also includes an electronic processor in communication with the PC H"1/' U S O B ./ 39 G S 1.
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT detector, the electronic processor being configured to determine spatial information about the measurement surface based on the spatially- varying intensity pattern.
Embodiments of the system can include one or more of the following features. For example, the electronic processor can be configured to perform a spatial-frequency transform of the spatially- varying intensity pattern and to determine the spatial information about the measurement surface based on the spatial-frequency transform.
The light source can be a broadband light source. The light source can be a spatially- extended light source.
The interferometer can include optical elements (e.g., lenses and/or mirrors) configured to image the light source to the detector. The optical elements can image the light source to the measurement surface and reimage the light source from the measurement surface to the detector. The interferometer can be configured to preserve a relative orientation of the images of the light source at the detector formed by the measurement light and reference light, respectively. The multi-element detector can include a two-dimensional array of detector elements and the dispersive optical element is configured to spectrally disperse the combined light along one of the array dimensions.
The dispersive optical element can include a grating (e.g., a transmission or reflection grating). The dispersive optical element can include a prism. The interferometer can direct the measurement light to the measurement surface at a grazing angle of incidence. The interferometer can include a beam splitter positioned to separate the input light into the test and reference light. The interferometer can include multiple mirrors positioned to reflect the reference light after separating it from the input light and before combining it with the measurement light. The interferometer can be configured to direct the measurement light to the measurement surface from the side of the measurement surface, and the system can further include a photolithography tool configured to expose the measurement surface to radiation. The system can include an alignment microscope for positioning the measurement surface relative to the photolithography tool. The electronic processor can be configured to cause an adjustment of the angular orientation of the measurement surface relative to the photolithography tool based on the spatial information. Attorney Docket No. 09712-418WO1 Client Reference No.2r531 PCT
In some embodiments, the interferometer includes a fiber array positioned to direct the measurement light to the measurement surface by a fiber array. The interferometer can further include a second fiber array positioned to combine the measurement light and reference light. The interferometer and electronic processor can be configured to repeat the analysis for additional locations of the measurement surface to determine spatial information about different portions of the measurement surface.
In general, in another aspect, the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, a dispersive optical element, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a measurement surface with the measurement light at a grazing angle of incidence, combine the reference light with the measurement light reflected from the measurement surface, and direct the combined light to the dispersive optical element which spectrally disperses the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements. The system also includes an electronic processor in communication with the detector, the electronic processor being configured to determine spatial information about the measurement surface based on the spatially- varying intensity pattern during operation of the system. Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods. hi general, in a further aspect, the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface comprising a plurality of locations with the measurement light at a grazing angle of incidence, combine the reference light with the measurement light reflected from the locations of the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements. The interferometer directs measurement light from each location of the measurement surface to a plurality of the detector elements. The system also includes an electronic processor in communication with the detector, the electronic processor being configured to perform, for each location of the measurement surface, a spatial-frequency Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT transform of the spatially- varying intensity distribution across the corresponding detector elements and determine spatial information about the section of the measurement surface based on the spatial frequency transforms. Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods. hi general, in another aspect, the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface comprising a plurality of locations with the measurement light, combine the reference light with the measurement light reflected the measurement surface, and direct the combined light onto the detector to provide a spatially- varying intensity pattern on the detector elements. The system also includes an electronic processor in communication with the detector, the electronic processor being configured to determine, for each location of the measurement surface, an interference signal from the spatially- varying intensity distribution across the corresponding detector elements and determine spatial information about the section of the measurement surface based on the interference signals. Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods. In general, in another aspect, the invention features a system that includes a light source configured to produce input light during operation of the system, a multi-element detector, a dispersive optical element, and an interferometer configured to derive measurement light and reference light from the input light, illuminate a measurement surface with the measurement light, combines the reference light with the measurement light reflected from the measurement surface, and direct the combined light to the dispersive optical element which spectrally disperses the combined light onto the detector to detect a spatially-varying intensity pattern. The system also includes an electronic processor in communication with the detector, the electronic processor being configured to perform a spatial-frequency transform of the spatially- varying intensity pattern and determine spatial information about the measurement surface based on the spatial-frequency transform.
Figure imgf000014_0001
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
Embodiments of the system can include any of the features mentioned in relation to the other systems and/or may be configured to implement the above-mentioned methods.
Other features and advantages will be apparent from the following detailed description. A number of documents are incorporated herein by reference. In case of conflict, the present application will control.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. IA is a perspective view of an embodiment of an grazing-incidence interferometry system. FIG. IB is a side view of a portion of the grazing-incidence interferometry system shown in FIG. IA.
FIG. 2A is a plan view of a detector showing a spectrally-decomposed light intensity pattern.
FIG. 2B is a plan view of a detector showing a spectrally-decomposed light intensity pattern modulated by an interference pattern.
FIG. 3 is a schematic flow diagram showing steps for acquiring an interference signal.
FIG. 4A is a plot of a simulated interference signal obtained by mechanical phase shifting. FIG. 4B is a plot of a simulated spatially- varying intensity pattern.
FIG. 4C is a plot of an interference signal obtained from the simulated spatially- varying intensity pattern shown in FIG. 4B.
FIG. 5 is a plot of a broadband emission spectrum.
FIG. 6 is a perspective view of an embodiment of an grazing-incidence interferometry system.
FIG. 7 is a schematic view of an embodiment of an interferometry system. FIG. 8 A is a cross-section of a measurement object including a substrate and an overlaying layer, e.g., a thin film.
FIG. 8B is a top view of the object shown in FIG. 8 A. IPC T/ U S O G /' 3 '9 G S X
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
FIGs. 9A and 9B are examples of structures having copper interconnects. FIGs. 9A and 9B show the structure before and after planarization, respectively.
FIG. 1OA and 1OB are examples of structures before and after solder bump processing. FIG. 1OA shows the structure before addition of solder. FIG. 1OB shows the structure after addition of solder but prior to flowing the solder.
FIG. 11 is a cross-section of a portion of a liquid crystal display.
DETAILED DESCRIPTION
Referring to FIG. IA, a grazing-incidence interferometry system 100 includes a broadband light source 110, lenses 112, 114, 132 and 134, a beam splitter 120, mirrors 121, 122, 123, and 125, a beam combiner 130, a diffraction grating 140, and a detector 150.
Lenses 112 and 114 form a telecentric imaging system that images light source 110 onto a measurement surface an object 101. An aperture stop 113 is positioned between lenses 112 and 114. Lenses 132 and 134 form a telecentric imaging system that images the measurement surface onto detector 150. Generally, the numerical aperture (NA) of the imaging system at detector 150 can vary. Typically, the NA is relatively small (e.g., about 0.1 or less, about 0.05 or less, about 0.01 or less). In general, the light from light source 110 can be polarized (e.g., linearly polarized) or unpolarized.
Light from light source 110 propagates as an input beam 161, that is split into a measurement beam 160 and a reference beam 162 by beam splitter 120. After measurement beam 160 reflects from the measurement surface of object 101, it is recombined with reference beam 162 by beam combiner 130. The system is arranged so that there is a nonzero optical path length difference between measurement beam 160 and reference beam 162. Combined beam 164 is directed to a diffraction grating 140, which reflects combined beam 164 and spectrally disperses the beam onto detector 150. The spectral dispersion of combined beam 164 is also referred to as spectral decomposition. The light in combined beam 164 from measurement beam 160 and reference beam 162 interfere at the surface of detector 150 producing a series of intensity fringes across the detector.
As a result of broadband light source 110, system 100 has a relatively low coherence length and interferometry system 100 introduces a non-zero optical path length difference Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT between measurement beam 160 and reference beam 162 that is larger than a coherence length of the system.
The telecentric imaging systems that image light source 110 onto the measurement surface and onto detector 150 are arranged to preserve the relative orientation of the respective images of light source 110 formed from the measurement light and reference light at detector 150. This preserves coherence of the measurement and reference light from different portions of the extended source at the detector, so that the beams can form an interference pattern for certain optical path length difference between the measurement and reference light. Referring also to FIG. IB, object 101 is supported by a stage 170, which can be a movable stage. FIG. IB also shows the path of measurement beam 160 relative to the surface normal of object 101. Being a grazing angle incidence interferometer, the chief rays of the system are incident on the measurement surface with a non-zero angle of incidence, θ. hi some embodiments, θ can be relatively large. For example, θ can be about 45° or more (e-g-5 about 60° or more, about 75° or more). The grazing-incidence geometry provides a working space directly above the measurement surface, allowing other instruments to be placed in close proximity to the measurement surface. For example, in some embodiments, a microscope, such as an alignment microscope, can be positioned to study the measurement surface while the measurement surface is in the path of measurement beam 160. Detector 150 is a multi-element detector, such as a charge coupled device (CCD) array or a complimentary metal-oxide-semiconductor (CMOS) array. In general, the type of detector depends on the spectral content of the light used by the system. For example, both CCD and CMOS detectors can be suitable for light in the visible region and/or infrared region of the electromagnetic spectrum. Light source 110 is a spatially-extended broadband light source. In particular, light source 110 extends in one direction so that is illuminates a line of points on the measurement surface. For example, light source 110 can be composed of a plurality of point sources (e.g., light emitting diodes) or an extended single source (e.g., an extended fluorescent bulb). More generally, in some embodiments, a point source, rather than a spatially-extended source, can be used. P C T/" U S O B/ 3Q B!B Jl.
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
Moreover, while system 100 images source 110 to detector 150, in general, other configurations are also possible. For example, in some embodiments, system 100 can image light from a pupil plane of the system to the detector. In certain embodiments, system 100 can include an aperture stop (e.g., positioned at or near a pupil plane) that defines an illumination pattern at the measurement surface. For example, a slit-shaped aperture stop can be used to define a spatially-extended source. In general, embodiments can include additional optical components (e.g., spectral and/or spatial filters, homogenizing optics) for manipulating light from the light source to provide a desired illumination pattern at the measurement surface and/or at the detector. In general, while light source 110 is a broadband light source, the spectral bandwidth of light source 110 can vary. The spectral bandwidth refers to the full width at half maximum of the emission spectrum of the light source. In some embodiments, the spectral bandwidth of the light source can be about 20 nm or more (e.g., about 50 nm or more, about 100 nm or more, about 150 nm or more, about 200 nm or more, about 250 nm or more, about 300 nm or more). The emission spectrum can include light in the visible portion of the electromagnetic spectrum and/or outside the visible portion of the electromagnetic spectrum (e.g., in the infrared and/or ultraviolet portions of the electromagnetic spectrum).
Diffraction grating 140 and the imaging system including lenses 132 and 134 are arranged relative to detector 150 so that light reflected from the line of points illuminated by light source 110 on the measurement surface in combined beam 164 is dispersed as a function of wavenumber along one dimension of detector 150. The optical components are further arranged so that the orthogonal dimension of detector 150 corresponds to different points along the line on the measurement surface. This arrangement is illustrated by the axes in the FIG. 2A, where the intensity as a function of wavenumber corresponds to the source spectrum. Where the optical path length difference between the measurement beam 160 and reference beam 162 is much smaller than the wavelength of the light, λ' (i.e., the central wavelength of a Gaussian fit to the spectrum of the source), the interference phenomenon at detector 150 is constructive for all light wavelengths and the measured light distribution is similar to that shown in FIG. 2A. However, an optical path length difference, δ, between measurement beam 160 and reference beam 162 that is on the order of the wavelength, λ', of the light or larger, results in Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT constructive interference for those wavelengths λ such that δ = pλ where p is an integer number and destructive interference for those wavelengths such that δ - {p + }ζ)λ . The resulting spatially-varying light intensity distribution is shown schematically in FIG. 2B, in which the spectral distribution shown in FIG. 2A is modulated by a series of intensity fringes. The optical path length difference, δ, can be varied by displacing one of the reference mirrors 121, 123, and/or 125 in a direction orthogonal to the plane of the mirror, and/or displacing object 101 in a the direction of surface normal 102. For example, in some embodiments, one or more of reference mirrors 121, 123, and/or 125 can be affixed to an adjustable mount, which is configured to provide a user with the ability to modify δ. Detector 150 is in communication with an electronic processor 199, which analyzes intensity information from the detector to determine spatial information about the measurement surface of object 101. In some embodiments, the location of interference extrema is used to estimate the optical path length difference at each location along the illuminated line on the object. For example, in some embodiments, one can use a dense channel spectrum and apply
"spatial-carrier" phase measurement techniques to calculate a phase variation as a function of wavelength, the slope of which is proportional to the optical path length difference. Spatial- carrier phase measurement techniques are described, for example, in commonly-owned U.S. Patent Publication No. US-2006-0187465 Al, entitled "INTERFEROMETRY SYSTEMS AND METHODS," filed on February 15, 2006, the entire contents of which are incorporated herein by reference.
In some embodiments, spatial information about the measurement surface is determined from a spatial-frequency transform (e.g., a Fourier transform such as a Discrete Fourier transform, a Laplace transform, a Hubert transform) of the intensity information from each column of detector elements. For example, the intensity distribution for each column of detector elements can be Fourier transformed, yielding an interference signal as a function of optical path length difference. The location of a signal of interest, corresponding to the interference signal due to measurement light reflecting from the measurement surface, is directly proportional to the optical path length difference in the interferometer, δ. Accordingly, spatial information about the measurement surface can be extracted from the PMC T/ U S O G/ 3 '9 B S 1.
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT interference signal using conventional techniques developed for SWLI interference signals that are acquired, for example, by mechanical phase shifting.
For such cases, the overall measurement principle is illustrated in FIG. 3. Broadband light from source 110 has an emission spectrum shown, for example, by spectrum 300. In spectrum 300, the relative intensity is shown as a function of wavenumber, k, where a wavenumber is defined as Ic = 2π/ λ , for a wavelength λ in the emission spectrum. The spectrum measured by detector 150 for one object point is shown as spectrum 320. Spectrum 320 includes a number of intensity fringes that modulate the spectral profile of the source. Spectrum 320 corresponds to the intensity profile measured across a single column of detector elements. Each column of detector elements will measure a similar spectrum, corresponding to the different points in the line of the measurement surface imaged to the detector. Once the intensity distribution is measured for each detector element, electronic controller 199 Fourier transforms the spectrum to produce an interference signal as shown by signal 330. A portion of the intensity signal 340 is identified as the portion of the signal associate with the measurement surface.
It is believed, in theory, that the interference signal obtained by Fourier transforming the spectrally decomposed intensity profile is identical to an interference signal obtained, for example, using a mechanical scan. This equivalence is illustrated mathematically as follows. For a broadband emission spectrum, the interference signal measured on a detector during a mechanical scan over a range of optical path length differences is determined by summing over all wavelengths and can be expressed as
/O) = JA(k) + B(Ic) oosilkz + φ(z))dk , (1) o
where Ic is as defined previously, 2z is the optical path length difference between the measurement and reference arms of the interferometer, A(k) is the background light intensity, B(Ic) is the modulating intensity, φ(k) is a phase offset that includes all phase changes on transmission and reflection in the interferometer for the specific wavenumber, Ic. Note also that A(Ic) and B(Ic) are real functions and A(Ic) = B(Ic) = 0 for all Ic < 0. Eq. (1) can be rewritten as Attorney Docket No. 09712-418WO1 Client Reference No. 2^-531 PCT
Figure imgf000020_0001
and recognizing the second term as a Fourier transform, can be rewritten again as
I(z) = \A(k)dk + Re(FT (B(k)eim )) . (3) o
In the case of spectral decomposition, where the OPD between the measurement and reference beams is 2ZQ, the intensity at each wavenumber is given by the equation
SI(k) = A(K) + B(k) cos(k2z0 + φ(k)) . (4)
The Fourier transform of the intensity distribution, SI(k), is given as
/„, (2z) (5)
Figure imgf000020_0002
which can be expanded as
Figure imgf000020_0003
and rewritten again as
/«, (2z) =
Re(FT(a(k)) + - Rc(FT (B (k)eiφ(lc) )) * A(2z0 ) + - Re(FT (B (k)e~iφ{k) )) * Δ(-2z0 ) ' IF >«::;; τ / u s o e / 39 e e JL
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT where Δ is the Dirac distribution and * is the convolution operator. It is noted that the second term on the right hand side of Eq. (7) corresponds to the modulation term of Eq. (2) convolved with a Δ distribution, which results in a shift of the location of the interference signal of interest. In other words, in theory, one can reconstruct the interference signal that would be obtained using a mechanical scan by Fourier transforming the spectral decomposition signal and selecting the relevant component of Eq. (7). Note that in order to effectively use this formalism to extract the contribution from the measurement surface to the interference signal, the offset Z0 should be larger than the width of the other terms in Eq. (7). In other words, the coherence length of the system should be less than the optical path length difference between the measurement and reference beams so that the modulation in the interference signal at ZQ is separated from the modulation at z — 0. In general, the larger the value of Z0, the higher the frequency of region of interest of the interference signal will be. Also, the sign of the system is related to the sign of the optical path length difference. In other words, the sign of the system will change depending on whether the measurement beam path is larger than the reference beam path or vice versa.
Referring to FIGs. 4A-4C, the equivalence of an interference signal obtained by Fourier transforming a spectral decomposition signal and a conventionally-obtained interference signal is illustrated by a simulated signal for a 450 nm thick SiO2 layer on a Cu substrate. For the purpose of the simulation, the interferometer had a sufficiently low NA that spatial coherence effects could be ignored, the chief rays of the interferometer were normally incident on the substrate surface, and the source had a central emission wavelength of 550 nm and a FWHM of 200 nm with a Gaussian shape as a function of k. FIG. 4A shows the simulated signal obtained using a mechanical scan calculated using Eq. (2). FIG. 4B shows the spectral decomposition intensity signal calculated using Eq. (3) for Z0 = 5 μm. FIG. 4C shows the Fourier transform of the signal shown in FIG. 4B. The portion of the signal corresponding to the measurement surface is indicated by the box located at z = z0. Since the interference signal obtained by transfonning the spectrally decomposed intensity profile is effectively identical to an interference signal obtained using conventional scanning techniques (e.g., a mechanical scan), one can determine spatial information from the interference signal using algorithms developed for the conventionally-obtained interference signals. !PM[I-TZMIJ S iDl IS1 Z B gI G1 S
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
U.S. patent applications published as US-2005-0078318-A1 entitled "METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS" and US-2005-0078319-A1 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE, both by Peter J. de Groot, disclose techniques for analyzing interferometry signals from a thin film sample. One of the disclosed techniques is referred to as TopSlice and identifies the portion of the interferometry (SWLI) signal corresponding to the top-surface profile of a thin film structure. For a thin enough film, the individual signals corresponding to the upper and lower interfaces of the film are inseparable, in the sense that the fringe contrast has only one peak; nonetheless, one can argue on physical grounds that the first few fringes on the right most closely relate to the top-surface profile. TopSlice identifies the trumpet-shaped leading edge of the signal, and ascribes this to the top surface profile. A further technique disclosed in these published applications describes one way of locating the leading edge or other segment of a signal by using a pattern matching technique, one example of which is referred to as correlation template analysis (CTA). Both of said published applications are commonly- owned with the present applications and are incorporated herein by reference.
Additional methods for determining spatial information about the measurement surface are described in commonly-owned U.S. Patent Application Serial No. 11/437,002, entitled "METHOD AND SYSTEM FOR ANALYZING LOW-COHERENCE INTERFEROMETRY SIGNALS FOR INFORMATION ABOUT THIN FILM
STRUCTURES," filed on May 18, 2006, the entire contents of which are incorporated herein by reference. Methods disclosed in U.S. Patent Application Serial No. 11/437,002 feature a sliding-window least-squares (LSQ) procedure for analyzing low-coherence interferometry signals. The procedure can be used to accurately identify portions of the low-coherence interferometry signals of interest. The procedure performs a fit sequentially through the scan by means of a least-squares optimization. The first step is to create a fitting function based on a model of the signal that we expect to see, then using one or more variable parameters, including an interference phase value, to optimize the fit to the actual signal at each scan position. The scan position for which the LSQ fit is most successful locates the signal, and the phase at this point is the desired final result. Attorney Docket No. 09712-418WO1 Client Reference No.2r531 PCT
In general, electronic processor can perform a variety of additional operations in the process of determining the spatial information about the measurement surface. For example, in some embodiments, the spectral distribution measured by the detector is resampled (e.g., by interpolation of spatially- varying intensity pattern data) if needed to provide spectral sampling with a fixed wavenumber increment Ak between adjacent detector elements in each column of elements.
In some embodiments, the electronic processing can include operations that reduce or eliminate certain system errors, including, for example, source spectrum distortions and nonlinear dispersion in the interferometer. In embodiments, these methods operate on an interference signal to produce a corresponding repaired signal in which the effects of system errors are reduced (e.g., eliminated). For example, in a first step, a system characterization procedure determines the system errors by direct measurement of these errors in the frequency domain in terms of the amplitudes and phases of the transform coefficients. In a second step, the interference signal from the measurement surface is corrected, where the known phase and amplitude system errors are removed by subtraction, division or other data manipulation. The corrected data is then transformed back to provide a repaired interference signal from which spatial information about the measurement surface can be determined. Examples of such error corrections techniques are disclosed in commonly-owned Provisional Patent Application No. 60/832,452, entitled "COMPENSATION OF SYSTEMATIC EFFECTS IN SCANNING WHITE LIGHT INTERFEROMETRY," filed on July 21, 2006, the entire contents of which are incorporated herein by reference.
In certain embodiments, the methods described above include a calibration that provides information about the spectroscopic detection system. In particular, the correspondence between a particular vertical location on the detector and the corresponding wavelength or wavenumber is established in the form of a wavelength mapping function. A ' baseline calibration of the optical system can be performed using, for example, one or more narrowband filters which allow one to establish the location on the detector that the corresponding calibration wavelengths are directed to. The mapping of the spectral range of interest can thus be calibrated in as much details as required for the target measurement accuracy. Such a mapping function can be used, for example, where imaging aberrations of Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT the optical system may introduce relative displacements of the location of a given spectral component as a function of the location on the object.
In some embodiments, variations of the wavelength mapping function can be monitored in situ. For example, the light source can be selected or constructed by combination of multiple light source outputs such that multiple sharp emission lines are present within the spectral domain covered by the detector. An exemplary spectrum is shown in FIG. 5, where the emission spectrum includes peaks at /c0, k\, and /c2, for example, that can be used to map variations of the wavelength mapping function. These lines are identified and their position monitored at every exposure of the detector, providing real time correction of the mapping function if required. For the purpose of creating an interference signal in the time domain, the processing can be configured to ignore the locations where the lines are present, for example, by interpolating between nearby spectral components.
Tracking the location of known spectral lines is also a means of estimating the overall height offset of a measurement surface. A large height offset of the measurement surface can introduce a shift of the image of the projected line once imaged onto the camera. A beam block interrupting alternatively the measurement and reference beams provides two spectral images. The relative location of the known spectral lines in these two images can provide information about the height offset.
Typically, the spatial information is a profile of a section of the measurement surface corresponding to the line of points imaged to the detector. However, in general, the spatial information can include other parameters characterizing the measurement object. For example, the spatial information can include location and orientation (e.g., piston, tip and/or tilt with respect to the interferometer) of a measurement surface, hi some embodiments, the spatial information can be used to identify a specific material or structure in the measurement object.
Moreover, while the measurement surface for object 101 is the top surface of the object, the techniques disclosed herein can be used to determine spatial information about other surfaces of the object. For example, in some embodiments, object 101 may include a substrate that is covered by a thin film structure (e.g., a photoresist on substrate, which may itself include one or more layers) and the techniques can be used to determine information about a surface at the interface between the thin film structure and the underlying substrate. P C T/ U SOEZ1B1^ G BJJ . ?
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
As an example, in some embodiments, input beam 161 can be polarized so that measurement beam 160 is substantially /"-polarized at the measurement surface and is incident on the measurement object at Brewster's angle. Thus, a minimal amount of measurement beam radiation reflects from the top surface of measurement object 101, and most is transmitted through the top layer of the object. The transmitted radiation can be reflected by an interface between layers of object 101. Subsequent analysis of the combined beam provides spatial information about this interface, rather than the top surface of measurement object 101.
In some embodiments, system 100 can be used to determine spatial information about a two-dimensional area for the measurement surface. For example, the position of the measurement object can be scanned (e.g., by moving stage 170) with respect to the measurement light while detector 150 acquires a series of frames of the spatially- varying intensity pattern. For each frame, spatial information about a corresponding line of points on the measurement surface can be determined. The spatial information for the different lines of points can be combined to provide spatial information about an area of the surface.
In general, the spatial information can be output in a variety of ways. In some embodiments, the spatial information can be output graphically or numerically to an electronic display or a printer. In certain embodiments, the spatial information can be output to memory (e.g., to random access memory or written to non-volatile magnetic, optical, or other memory). In some embodiments, the spatial information can be output to a control system, such a wafer handling control system, which can adjust its operation based on the spatial infoπnation. For example, the system can adjust the position or orientation of the measurement object based on the spatial information.
The techniques described herein can include a number of advantages. For example, one benefit of this type of approach is readily apparent when measuring over a transparent film that is thicker than half the coherence length of the light source. In this case the top and bottom interfaces of the film generate light reflections that are combined in the spectral domain. However, in the OPD domain one observes two separated finite-width signals. It is then straightforward to determine the position of the top interface by processing the corresponding signal while ignoring the signal due to the bottom interface. IP' C T',/ IJ S O B ./ ΞIP9 G S 1.
Attorney Docket No. 09712-418WOl Client Reference No. Z-531 PCT
In addition, spatial information can be acquired rapidly and without mechanical adjustment of the system. Specifically, spatial information is acquired from a single frame of the detector, and without mechanical phase shifting.
Another benefit of the type of approach is the fact that one can rectify the shape of the emission spectrum before Fourier transformation, which allows shaping optimally the modulation envelope of the signal of interest in the spatial domain. For example, additional information for this step of the processing can be gathered by having a beam block close the measurement leg so that only the reference spectral distribution is recorded at the detector.
A further possible benefit of the configuration shown in FIG. IA is the fact that the light bounces at a high angle of incidence from the measurement surface, which minimizes the influence of transparent layers present on the surface of object 101 when establishing the topography of the top surface.
Another possible benefit of the configuration is that direct observation of the object surface near normal incidence is un-obstructed, allowing other sensors to look at the object surface where it is being profiled (for example, an alignment microscope).
While a particular configuration for interferometry system 100 is shown in FIG. IA, in general, other configurations can also be used to implement the methods described herein. For example, while interferometry system 100 utilizes a reflective diffraction grating, in general, a variety of optical elements can be used to spectrally-disperse the output beam onto the detector. For example, in some embodiments, a transmission grating can be used. More generally, a non-diffractive dispersive element, such as a prism, can also be used.
In some embodiments, system 100 can utilize a monochromatic source rather than a broadband source. In such cases, a low coherence length system can be produced by utilizing spatial coherence phenomena related to, e.g., the range of angles of incidence of light illuminating the measurement surface. For example, high NA imaging can be used to image a pupil plane of the system to the detector. In this way, different locations on the detector correspond to different angles of incidence of the measurement light on the measurement surface. No dispersive element is necessary as dispersal of the combined beam into different wavevectors is performed by the imaging optics. In some embodiments, the NA of the imaging systems can be about 0.15 or more (e.g., about 0.2 or more, about 0.3 or more, about 0.4 or more). Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
In certain embodiments, system 100 can include both a broadband source and high NA imaging.
Interferometry system 100 utilizes free space optics to direct light from source 110 to detector 150. However, configurations that utilize one or more waveguides can also be used. For example, FIG. 6 shows interferometry system 200 which includes single mode fiber arrays to create and collect measurement beams. Specifically, interferometry system 200 includes a broadband light source 210, and a lens 212, which is configured to couple light from source 210 into a fiber beamsplitter 214. Fiber beamsplitter 214 splits the light into measurement light and reference light. The measurement light is directed through a fiber to another fiber beamsplitter 216, which directs the measurement light along multiple fibers that form a collimated fiber array 202. Fiber array 202 is position to illuminate a measurement object 201 with measurement light at a grazing angle of incidence. Light reflected from measurement object 201 is coupled into another collimated fiber array 204, which guides the light along fibers to beam combiners 222, 224, and 226. These beam combiners combine the measurement light with corresponding reference light that is directed via beamsplitter 214 and another beamsplitter 218 along a series of fibers. A further collimated fiber array 206 illuminates a prism 230 with the combined light. Prism 230 spectrally disperses the combined light onto a multi-element detector 240. Analysis of the detected intensity pattern proceeds as described previously in connection with system 100. Among other benefits, interferometry system 200 can allow for greater flexibility in the location of the various components of the instrument relative to configurations that utilize exclusively free space optics..
Systems 100 and 200 are examples of grazing-incidence interferometry systems. More generally, embodiments can include interferometry systems that are not grazing- incidence interferometry systems. For example, referring to FIG. 7, a interferometry system 700 is configured as a Michelson interferometer which directs measurement light to a measurement object 750 at a normal angle of incidence. Interferometry system 700 includes a broadband light source 710, a collimating lens 720, and a beamsplitter 730 that splits a collimated input beam into measurement beam and a reference beam. The measurement beam reflects from measurement object 750, while the reference beam reflects from a reference object. The reflected beams are recombined at beamsplitter 730 and directed W
IPI,,;; !! / U!bi0iB /:39lBli:L
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT through a transmission grating 760 and a focusing lens 770 onto a multi-element detector 780. The OPD between the reference and measurement beams is 2ZQ. The spectrally decomposed light detected at detector 780 can be analyzed as discussed previously.
Any of the analysis methods described above can be implemented in computer hardware or software, or a combination of both. The methods can be implemented in computer programs using standard programming techniques following the method and figures described herein. Program code is applied to input data to perform the functions described herein and generate output information. The output information is applied to one or more output devices such as a display monitor. Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the programs can be implemented in assembly or machine language, if desired. In any case, the language can be a compiled or interpreted language. Moreover, the program can run on dedicated integrated circuits preprogrammed for that purpose. Each such computer program is preferably stored on a storage medium or device
(e.g., ROM or magnetic diskette) readable by a general or special purpose programmable computer, for configuring and operating the computer when the storage media or device is read by the computer to perform the procedures described herein. The computer program can also reside in cache or main memory during program execution. The analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
The interferometry techniques described above may used for a variety of surface analysis problems, such as analyzing simple thin films; multilayer thin films; sharp edges and surface features that diffract or otherwise generate complex interference effects; unresolved surface roughness; unresolved surface features, for example, a sub-wavelength width groove on an otherwise smooth surface; dissimilar materials; polarization-dependent properties of the surface; and deflections, vibrations or motions of the surface or deformable surface features that result in incident-angle dependent perturbations of the interference phenomenon. For the case of thin films, the variable parameter of interest may be the film thickness, the refractive index of the film, the refractive index of the substrate, or some IPC T/ U S O IB / 3 '9 IB 81
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT combination thereof. Exemplary applications including objects and devices exhibit such features are discussed next.
In many microelectronics applications, photolithography is used to pattern a layer of photoresist overlying a substrate, e.g., a silicon wafer. Referring to FIGs. 8A and 8B, an object 30 includes a substrate, e.g., a wafer, 32 and an overlying layer, e.g., photoresist layer 34. Object 30 includes a plurality of interfaces as occur between materials of different refractive index. For example, an object-surroundings interface 38 is defined where an outer surface 39 of photoresist layer 34 contacts the environment surrounding object 30, e.g., liquid, air, other gas, or vacuum. A substrate-layer interface 36 is defined between a surface 35 of wafer 32 and a bottom surface 37 of photoresist layer 34. Surface 35 of the wafer may include a plurality of patterned features 29. Some of these features have the same height as adjacent portions of the substrate but a different refractive index. Other features may extend upward or downward relative to adjacent portions of the substrate. Accordingly, interface 36 may exhibit a complex, varying topography underlying the outer surface of the photoresist. A photolithography apparatus images a pattern onto the object. For example, the pattern may correspond with elements of an electronic circuit (or the negative of the circuit). After imaging, portions of the photoresist are removed revealing the substrate underlying the removed photoresist. The revealed substrate can be etched, covered with deposited material, or otherwise modified. Remaining photoresist protects other portions of the substrate from such modification.
To increase manufacturing efficiencies, more than one device is sometimes prepared from a single wafer. The devices may be the same or different. Each device requires that a subset of the wafer be imaged with a pattern. In some cases, the pattern is sequentially imaged onto different subsets. Sequential imaging can be performed for several reasons. Optical aberrations can prevent achieving adequate pattern focus quality over larger areas of the wafer. Even in the absence of optical aberrations, the spatial properties of the wafer and photoresist may also prevent achieving adequate pattern focus over large areas of the wafer. Aspects of the relationship between the spatial properties of the wafer/resist and focus quality are discussed next. Referring to back to FIG. 8B, object 30 is shown with a number N subsets 4Oj, each smaller than a total area 41 the object to be imaged. Within each subset 4Oi, spatial property Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT variations, e.g., height and slope variations of the wafer or photoresist, are typically smaller than when taken over the total area 41. Nonetheless, the wafer or photoresist of different subsets 40; typically have different heights and slopes. For example, layer 34 exhibits thicknesses At1 and Δt2, which vary the height and slope of surface 39 (FIG. 8A). Thus, each subset of the object may have a different spatial relationship with the photolithography imager. The quality of focus is related to the spatial relationship, e.g., the distance between the object and the photolithography imager. Bringing different subsets of the object into proper focus may require relative repositioning of the object and imager. Because of the object height and slope variations, proper subset focus cannot be achieved solely by determining the position and orientation of the obj ect with respect to a portion of the object that is remote to the imaged subset, e.g., a side 43 of the object.
Proper focus can be achieved by determining a spatial property of an object within a subset of the object to be imaged (or otherwise processed). Once the position of the subset has been determined, the object (and/or a portion of the photolithography imager) can be moved, e.g., translated, rotated, and/or tilted, to modify the position of the subset with respect to a reference, e.g., a portion of the photolithography imager. The determination and movement (if necessary) can be repeated for each subset to be imaged.
The determination of the spatial property of the subset can include determining a position and/or height of one or more points of an outer surface of a thin layer of the object, the one or more points lying within the subset of the object to be imaged. For example, the position and orientation of the outer surface 39 of subset 4O2 can be determined based upon the positions of points 42i-423 within the subset. The determination of the spatial property of the subset to be imaged can include using an interferometer to illuminate the subset with light and detecting an interference signal including light reflected from the illuminated subset. In some embodiments, a plurality of subsets are simultaneously imaged with light to obtain a plurality of interference signals. Each interference signal is indicative of one or more spatial properties of a subset. Thus, the interference signals can be used to prepare an image indicative of the topography of the object over a plurality of the subsets. During photolithography of the subsets, the wafer is positioned based upon the topography of the individual subsets as determined from the plurality of interference signals. Hence, each subset can be positioned for optimum focus with respect to the photolithography apparatus. PC T ./ US O IB/ 39 IB S .1
Attorney Docket No.09712-418WO1 Client Reference No. Z-531 PCT
Detecting an interference signal from each subset of an object to be imaged can include detecting light reflected from the subset and reference light over an OPD range that is at least as large as a coherence length of the detected light. For example, the light may be detected at least over its coherence length. In some embodiments, the interferometer is configured so that the light reflected from the illuminated subset is dominated by light reflected from either an outer interface (such as outer surface 39) or an inner interface (such as interface 36). In some embodiments, a spatial property of an object is deteπnined based on only a portion of the interference signal. For example, if the interference signal includes two or more overlapping interference patterns, a spatial property of the object can be determined based upon a portion of one of the interference patterns that is dominated by contributions from a single interface of the object.
In some embodiments, the techniques disclosed herein can be used to characterize copper interconnect structures, e.g., in conjunction with chemical mechanical polishing of the structures. It is becoming common among chip makers to use the so-called "dual damascene copper" process to fabricate electrical interconnects between different parts of a chip. This is an example of a process which may be effectively characterized using a suitable surface , topography system. The dual damascene process may be considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the wafer surface and small vias running from the bottom of the trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (and possibly vias) surrounded by dielectric material. Referring to FIG. 9 A, a device 500 is exemplary of the a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501. The PC T/ HJ S O IB / 39 IB S .1
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong. Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504. The device 500 may include a plurality of other features that also generate interference patterns.
Referring to FIG. 9B, a device 500' illustrates the state of device 500 after the final CMP step. The upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the surroundings. Interface 510 at the substrate surface remains intact. Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slurry composition), as well as on the local detailed arrangement (i.e., orientation, proximity and shape) of copper and surrounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506.
Additionally, once interface 508 of copper elements 502 is exposed, the dielectric and copper elements may exhibit different etch rates.
This "position dependent polishing rate" is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a "wafer scale" process nonuniformity-- i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities. This leads to a phenomenon known as "CMP induced erosion" in the high copper density regions. This is an example of a "chip scale" process non-uniformity— i.e., one occurring on a length scale comparable to (and sometimes much less than) the linear dimensions of a single chip. Another type of chip scale nonuniformity, known as "dishing", occurs within single copper filled trench regions (which tend to polish at a higher rate than the surrounding dielectric material). For trenches greater Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT than a few microns in width dishing may become severe with the result that affected lines later exhibit excessive electrical resistance, leading to a chip failure.
CMP induced wafer and chip scale process nonuniformities are inherently difficult to predict, and they are subject to change over time as conditions within the CMP processing system evolve. To effectively monitor, and suitably adjust the process conditions for the purpose of ensuring that any nonuniformities remain within acceptable limits, it is important for process engineers to make frequent non-contact surface topography measurements on chips at a large number and wide variety of locations. This is possible using embodiments of the interferometry methods and systems described above. In some embodiments one or more spatial properties, e.g., the topography of surface
506 and/or the thickness of dielectric 504, are monitored by obtaining low coherence interference signals from the structure before and/or during CMP. Based on the spatial properties, the polishing conditions can be changed to achieve the desired planar surface 506'. For example, the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties. After some period of polishing, the spatial property can again be determined and the polishing conditions changed as needed. The topography and/or thickness is also indicative of the end-point at which, e.g., surface 504' is achieved. Thus, the low coherence interference signals can be used to avoid depressions caused by over polishing different regions of the object. The low coherence interference methods and systems are advantageous in this respect because spatial properties of the device, e.g., the relative heights of the surface of the dielectric (a) over copper elements 502 and (b) over substrate surface 510 but adjacent copper elements 502 can be determined even in the presence of the multiple interfaces. In certain embodiments, the techniques disclosed herein can be used to monitor surfaces during solder bump processing. Referring to FIGs. 1OA and 1OB, a structure 550 is exemplary of a structure produced during solder bump processing. Structure 550 includes a substrate 551, regions 502 non-wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501. Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
During processing a mass of solder 504 is positioned in contact with wettable region 503. Upon flowing the solder, the solder forms a secure contact with the wettable region 503. Adjacent non-wettable regions 502 act like a dam preventing the flowed solder from undesirable migration about the structure. It is desirable to know spatial properties of the structure including the relative heights of surfaces 507, 509 and the dimensions of solder 504 relative to surface 502. As can be determined from other discussions herein, structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate of the spatial properties using known interference techniques. Application of the systems and methods discussed herein allow the spatial properties to be determined.
Spatial information determined from structure 550 can be used to change manufacturing conditions, such as deposition times for layers 502,503 and the amount of solder 504 used per area of region 503. Additionally, heating conditions used to flow the solder can also be changed based on the spatial properties to achieve adequate flow and or prevent migration of the solder.
The techniques disclosed herein can also be used to determine spatial information of one or more surfaces of a Liquid Crystal Display (LCD) panel during its fabrication. Referring to FIG. 11, a passive matrix LCD 450, for example, is composed of several layers. The main parts are two glass plates 452,453 connected by seals 454. A polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453. An Indium Tin Oxide (ITO) layer 458 is used as an electrode. A passivation layer 460, sometimes called hard coat layer, based on SiOx is coated over the ITO 458 to electrically insulate the surface. Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464. The liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied. The liquid crystal is also optically active and rotates the polarization direction of the incoming light. The cell gap Δg, i.e., thickness of the liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance. When there is no electric potential from the front plate 453 to the rear plate 452, the polarized light is rotated 9O.degree. as it passes through the liquid crystal layer 464. When an electric potential is applied from one plate to the other plate the light is not rotated. After the light has passed Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT through the liquid crystal layer 464, it passes through another polyimide layer 468, another hard coat layer 470, a rear ITO electrode 472, and the rear glass plate 452. Upon reaching a rear polarizer 474, the light either transmitted through or absorbed, depending on whether or not it has been rotated 90°. The cell 450 may include filters 476 or other colorizing elements to provide a color display.
The cell gap Δg determines to a great extent the optoelectrical properties of the LCD, e.g., the contrast ratio and brightness. Cell gap control during manufacturing is critical to obtaining uniform, quality displays. The actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453. Both before and after adding the liquid crystal medium 464, surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative of the cell gap Δg. The low coherence nature of the interference signal either itself or in combination with the described interference signal processing techniques can be used to monitor properties of the cell including the cell gap Δg during manufacture even in the presence of interfaces formed by other layers of the cell. An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative of the cell gap Δg prior to adding layer 464. The cell gap (or other spatial property of the cell) is determined from the interference patterns and can be compared to a specified value. Manufacturing conditions, e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap Δg if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap. Liquid crystal medium is then introduced into the cell. The amount of liquid crystal medium to be added can be determined from the measured spatial property of the cell. This can avoid over- or underfilling the cell. The filling process can also be monitored by observing interference signals from the surfaces 480,482. Once the cell has been filed, additional low coherence interference patterns are obtained to monitor the cell gap Δg (or other spatial property). Again, the manufacturing conditions can be changed so that the cell gap is maintained or brought within tolerances. In some embodiments, the techniques described herein can be implemented in manufacturing that involve laser scribing and cutting. For example, lasers can be used to IPC T/1 U Sl O B/ 31Qi Ei. S :IL
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT scribe objects in preparation for separating different, concurrently manufactured structures, e.g., microelectronics structures. The quality of separation is related to the scribing conditions, e.g., laser focus size, laser power, translation rate of the object, and scribe depth. Because the density of features of the structure may be large, the scribe lines may be adjacent thin film or layers of the structures. Interfaces associated with the thin film or layers may create interference patterns that appear when interferometry is used to determine the scribe depth. The techniques described herein can be used to determine the scribe depth even in the presence of such adjacent films or layers. /
An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines. Before and/or after separation, low coherence interference signals can be used to determine the depth of scribe. Other scribing conditions are known, e.g., laser spot size, laser power, translation rate. The scribe depth can be determined from the interference signals. The quality of separation as a function of the scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined. During continued manufacturing, low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances. Other embodiments are in the claims.

Claims

P «:: T / u s o & ,/ ; Bi giii, 8 ,1.Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCTWHAT IS CLAIMED IS:
1. A method, comprising: directing measurement light to reflect from a measurement surface; 5 combining the reflected measurement light with reference light, wherein the measurement light and reference light are derived from a common source, and there is a nonzero optical path length difference between the measurement light and reference light that is greater than a coherence length of the measurement light; spectrally dispersing the combined light onto a multi-element detector to detect a o spatially-varying intensity pattern; determining spatial information about the measurement surface based on the spatially-varying intensity pattern; and outputting the spatial information.
5 2. The method of claim 1, wherein determining the spatial information comprises performing a spatial-frequency transform of the spatially- varying intensity pattern and spatial information is determined based on the spatial-frequency transform.
3. The method of claim 2, wherein the spatial-frequency transform provides a 0 corresponding interference signal for each of a number of points of the measurement surface and determining the spatial information comprises analyzing a portion of each interference signal corresponding to a non-zero optical path length difference between the measurement light and reference light.
5 4. The method of claim 2, wherein the spatial-frequency transform is performed for each of a plurality of one-dimensional sections of the spatially- varying intensity pattern to provide a corresponding interference signal for each section.
5. The method of claim 4, wherein each interference signal is related to an 0 optical path length difference between the reference light and measurement light reflected Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT from a point on a line on the measurement surface corresponding to the section of the spatially- varying intensity pattern from which the interference signal is derived.
6. The method of claim 2, wherein the spatial frequency transform is a Fourier transform.
7. The method of claim .1, wherein the spatial information is information about a line of points on the measurement surface where measurement light reflected from the line of points is directed to a corresponding line of elements of the multi-element detector extending along a first dimension of the detector.
8. The method of claim 7, wherein the measurement light reflected from each point on the line of points is spectrally dispersed across a corresponding line of elements of the multi-element detector extending along a second dimension of the detector.
9. The method of claim 8, wherein the position of each detector element along the second dimension of the detector corresponds to a wavenumber of the measurement light.
10. The method of claim 8, wherein determining the spatial information comprises performing a spatial-frequency transform for each point by transforming an intensity profile from the corresponding line of elements of the multi-element detector to produce an interference signal.
11. The method of claim 10, wherein the interference signal for each point includes infoπnation about the optical path length difference between measurement light reflected from the point and the reference light combined with the measurement light reflected from the point.
12. The method of claim 11 , wherein the spatial infoπnation is determined by analyzing the interference signal for each point to determine surface height variations along the line of points on the measurement surface. IP C T /' U S IDI 6 / 3 «i 6. S .1
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
13. The method of claim 12, wherein the measurement surface is on a measurement object comprising a thin film on a substrate, and wherein analyzing the interference signal for each point on the line comprises selecting a subset of the interference signal corresponding to the top surface of the thin film and analyzing the subset to determine a relative surface height for each point of the top surface of the thin film along the line.
14. The method of claim 1, wherein the measurement light has a coherence length that is less than the non-zero optical path length difference between the measurement light and the reference light.
15. The method of claim 14, wherein the measurement light is broadband measurement light.
16. The method of claim 1 , wherein the measurement light is directed to reflect from the measurement surface at a grazing angle of incidence.
17. The method of claim 1, wherein the measurement light is directed to reflect from the measurement surface at an angle of incidence of about 60° or more.
18. The method of claim 1, wherein the measurement surface is on a measurement object comprising a thin film on a substrate.
19. The method of claim 18, wherein the spatial infonnation corresponds to a relative height profile for a surface of the thin film opposite the substrate.
20. The method of claim 18, wherein the spatial infonnation corresponds to a relative height profile of a surface of the thin film adjacent the substrate.
21. The method of claim 1 , wherein the measurement light is in the visible region of the electromagnetic spectrum. Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
22. The method of claim 1, wherein the measurement light is outside the visible region of the electromagnetic spectrum.
5 23. The method of claim 1, wherein the measurement light has a spectral bandwidth of about 50 nm or more.
24. A method, comprising: directing measurement light to reflect from a measurement surface; o combining the reflected measurement light with reference light, wherein the measurement light and reference light are derived from a common source; spectrally dispersing the combined light onto a multi-element detector to detect a spatially-varying intensity pattern; performing a spatial-frequency transform of the spatially- varying intensity pattern; 5 determining spatial information about the measurement surface based on the spatial- frequency transform; and outputting the spatial information.
25. A system, comprising: 0 a light source being configured to produce input light during operation of the system; a multi-element detector; ' an interferometer configured to derive measurement light and reference light from the input light, illuminate a section of a measurement surface with the measurement light, combine the reference light with the measurement light reflected the measurement surface, 5 and direct the combined light onto the detector to provide a spatially-varying intensity pattern on the detector elements, wherein the input light has a finite coherence length and the interferometer introduces an optical path length difference between the measurement light and the reference light that is greater than the coherence length of the input light; and an electronic processor in communication with the detector, the electronic processor 0 being configured to determine spatial information about the measurement surface based on the spatially- varying intensity pattern. Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
26. The system of claim 25, wherein the electronic processor is configured to perform a spatial-frequency transform of the spatially- varying intensity pattern and to deteπnine the spatial information about the measurement surface based on the spatial- frequency transform.
27. The system of claim 25, wherein the light source is a broadband light source.
28. The system of claim 25, wherein the light source is a spatially-extended light source.
29. The system of claim 25, wherein the interferometer comprises optical elements configured to image the light source to the detector.
30. The system of claim 29, wherein the optical elements image the light source to the measurement surface and reimage the light source from the measurement surface to the detector.
31. The system of claim 29, wherein the interferometer is configured to preserve a relative orientation of the images of the light source at the detector formed by the measurement light and reference light, respectively.
32. The system of claim 25, wherein the multi-element detector comprises a two- dimensional array of detector elements and the dispersive optical element is configured to spectrally disperse the combined light along one of the array dimensions.
33. The system of claim 25, wherein the dispersive optical element comprises a grating.
34. The system of claim 25, wherein the dispersive optical element comprises a prism. IP1 IC T / U S O B / 39 B S ,1
Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
35. The system of claim 25, wherein the interferometer directs the measurement light to the measurement surface at a grazing angle of incidence.
36. The system of claim 25, wherein the interferometer comprises a beam splitter positioned to separate the input light into the test and reference light.
37. The system of claim 36, wherein the interferometer comprises multiple mirrors positioned to reflect the reference light after separating it from the input light and before combining it with the measurement light.
38. The system of claim 25, wherein the interferometer is configured to direct the measurement light to the measurement surface from the side of the measurement surface, and wherein the system further comprises a photolithography tool configured to expose the measurement surface to radiation.
39. The system of claim 38, further comprising an alignment microscope for positioning the measurement surface relative to the photolithography tool.
40. The system of claim 38, wherein the electronic processor is configured to cause an adjustment of the angular orientation of the measurement surface relative to the photolithography tool based on the spatial information.
41. The system of claim 25, wherein the interferometer comprises a fiber array positioned to direct the measurement light to the measurement surface by a fiber array.
42. The system of claim 41, wherein the interferometer further comprises a second fiber array positioned to combine the measurement light and reference light. Attorney Docket No. 09712-418WO1 Client Reference No. Z-531 PCT
43. The system of claim 25, wherein the interferometer and electronic processor are configured to repeat the analysis for additional locations of the measurement surface to determine spatial information about different portions of the measurement surface.
PCT/US2006/039681 2005-10-11 2006-10-11 Interferometry method and system including spectral decomposition WO2007044786A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72600505P 2005-10-11 2005-10-11
US60/726,005 2005-10-11

Publications (2)

Publication Number Publication Date
WO2007044786A2 true WO2007044786A2 (en) 2007-04-19
WO2007044786A3 WO2007044786A3 (en) 2008-01-10

Family

ID=37943497

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/039681 WO2007044786A2 (en) 2005-10-11 2006-10-11 Interferometry method and system including spectral decomposition

Country Status (2)

Country Link
US (1) US7636168B2 (en)
WO (1) WO2007044786A2 (en)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1025879A (en) * 1996-07-11 1998-01-27 Tajima Inc Step difference dissolving plate
JP4241038B2 (en) 2000-10-30 2009-03-18 ザ ジェネラル ホスピタル コーポレーション Optical method and system for tissue analysis
US9295391B1 (en) 2000-11-10 2016-03-29 The General Hospital Corporation Spectrally encoded miniature endoscopic imaging probe
US7865231B2 (en) * 2001-05-01 2011-01-04 The General Hospital Corporation Method and apparatus for determination of atherosclerotic plaque type by measurement of tissue optical properties
US7355716B2 (en) 2002-01-24 2008-04-08 The General Hospital Corporation Apparatus and method for ranging and noise reduction of low coherence interferometry LCI and optical coherence tomography OCT signals by parallel detection of spectral bands
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
WO2004088361A2 (en) 2003-03-31 2004-10-14 The General Hospital Corporation Speckle reduction in optical coherence tomography by path length encoded angular compounding
US8054468B2 (en) * 2003-01-24 2011-11-08 The General Hospital Corporation Apparatus and method for ranging and noise reduction of low coherence interferometry LCI and optical coherence tomography OCT signals by parallel detection of spectral bands
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7102758B2 (en) 2003-05-06 2006-09-05 Duke University Fourier domain low-coherence interferometry for light scattering spectroscopy apparatus and method
KR101386971B1 (en) 2003-06-06 2014-04-18 더 제너럴 하스피탈 코포레이션 Process and apparatus for a wavelength tunning source
TWI334921B (en) 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
US7733497B2 (en) 2003-10-27 2010-06-08 The General Hospital Corporation Method and apparatus for performing optical imaging using frequency-domain interferometry
AU2004320269B2 (en) 2004-05-29 2011-07-21 The General Hospital Corporation Process, system and software arrangement for a chromatic dispersion compensation using reflective layers in optical coherence tomography (OCT) imaging
WO2006014392A1 (en) 2004-07-02 2006-02-09 The General Hospital Corporation Endoscopic imaging probe comprising dual clad fibre
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US8081316B2 (en) * 2004-08-06 2011-12-20 The General Hospital Corporation Process, system and software arrangement for determining at least one location in a sample using an optical coherence tomography
US8208995B2 (en) 2004-08-24 2012-06-26 The General Hospital Corporation Method and apparatus for imaging of vessel segments
WO2006024014A2 (en) 2004-08-24 2006-03-02 The General Hospital Corporation Process, system and software arrangement for measuring a mechanical strain and elastic properties of a sample
US7365859B2 (en) 2004-09-10 2008-04-29 The General Hospital Corporation System and method for optical coherence imaging
EP2329759B1 (en) 2004-09-29 2014-03-12 The General Hospital Corporation System and method for optical coherence imaging
JP2008521516A (en) 2004-11-29 2008-06-26 ザ ジェネラル ホスピタル コーポレイション Configuration, apparatus, endoscope, catheter, and method for performing optical image generation by simultaneously illuminating and detecting multiple points on a sample
US7428057B2 (en) 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
EP2325803A1 (en) 2005-04-28 2011-05-25 The General Hospital Corporation Evaluating optical coherence tomography information for an anatomical structure
US9060689B2 (en) 2005-06-01 2015-06-23 The General Hospital Corporation Apparatus, method and system for performing phase-resolved optical frequency domain imaging
ES2354287T3 (en) 2005-08-09 2011-03-11 The General Hospital Corporation APPARATUS AND METHOD FOR PERFORMING A DEMODULATION IN QUADRATURE BY POLARIZATION IN OPTICAL COHERENCE TOMOGRAPHY.
CN101365375B (en) 2005-09-29 2013-09-11 通用医疗公司 Method and apparatus for optical imaging via spectral encoding
EP2444783B1 (en) * 2005-10-11 2015-03-04 Duke University Systems and method for fiber-based endoscopic angle-resolved low coherence interferometry
US8537366B2 (en) * 2005-10-11 2013-09-17 Duke University Systems and methods for endoscopic angle-resolved low coherence interferometry
US7889348B2 (en) 2005-10-14 2011-02-15 The General Hospital Corporation Arrangements and methods for facilitating photoluminescence imaging
EP1971848B1 (en) * 2006-01-10 2019-12-04 The General Hospital Corporation Systems and methods for generating data based on one or more spectrally-encoded endoscopy techniques
US8145018B2 (en) 2006-01-19 2012-03-27 The General Hospital Corporation Apparatus for obtaining information for a structure using spectrally-encoded endoscopy techniques and methods for producing one or more optical arrangements
PL1973466T3 (en) 2006-01-19 2021-07-05 The General Hospital Corporation Ballon imaging catheter
JP5524487B2 (en) * 2006-02-01 2014-06-18 ザ ジェネラル ホスピタル コーポレイション A method and system for emitting electromagnetic radiation to at least a portion of a sample using a conformal laser treatment procedure.
WO2007149603A2 (en) 2006-02-01 2007-12-27 The General Hospital Corporation Apparatus for applying a plurality of electro-magnetic radiations to a sample
JP5519152B2 (en) * 2006-02-08 2014-06-11 ザ ジェネラル ホスピタル コーポレイション Device for acquiring information about anatomical samples using optical microscopy
EP1987318B1 (en) 2006-02-24 2015-08-12 The General Hospital Corporation Methods and systems for performing angle-resolved fourier-domain optical coherence tomography
EP2517616A3 (en) 2006-05-10 2013-03-06 The General Hospital Corporation Processes, arrangements and systems for providing frequency domain imaging of a sample
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
US20080021276A1 (en) * 2006-07-21 2008-01-24 Oncoscope, Inc. Protective probe tip, particularly for use on a fiber-optic probe used in an endoscopic application
WO2008049118A2 (en) 2006-10-19 2008-04-24 The General Hospital Corporation Apparatus and method for obtaining and providing imaging information associated with at least one portion of a sample and effecting such portion(s)
JP5502491B2 (en) * 2006-12-22 2014-05-28 ザイゴ コーポレーション Apparatus and method for characterization of surface features
US7782470B2 (en) * 2006-12-27 2010-08-24 Cambridge Research Instrumentation, Inc. Surface measurement apparatus and method using depth of field
US7911621B2 (en) * 2007-01-19 2011-03-22 The General Hospital Corporation Apparatus and method for controlling ranging depth in optical frequency domain imaging
US7949019B2 (en) 2007-01-19 2011-05-24 The General Hospital Wavelength tuning source based on a rotatable reflector
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
EP2602651A3 (en) 2007-03-23 2014-08-27 The General Hospital Corporation Methods, arrangements and apparatus for utilizing a wavelength-swept laser using angular scanning and dispersion procedures
US10534129B2 (en) 2007-03-30 2020-01-14 The General Hospital Corporation System and method providing intracoronary laser speckle imaging for the detection of vulnerable plaque
WO2008131082A1 (en) 2007-04-17 2008-10-30 The General Hospital Corporation Apparatus and methods for measuring vibrations using spectrally-encoded endoscopy techniques
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
JP5917803B2 (en) 2007-07-31 2016-05-18 ザ ジェネラル ホスピタル コーポレイション System and method for emitting a beam scanning pattern for fast Doppler optical frequency domain imaging
EP2191254B1 (en) 2007-08-31 2017-07-19 The General Hospital Corporation System and method for self-interference fluorescence microscopy, and computer-accessible medium associated therewith
EP2188587A4 (en) * 2007-09-13 2017-01-18 Duke University Apparatuses, systems, and methods for low-coherence interferometry (lci)
JP4512627B2 (en) * 2007-10-03 2010-07-28 キヤノン株式会社 Measuring apparatus, exposure apparatus, and device manufacturing method
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
WO2009059034A1 (en) 2007-10-30 2009-05-07 The General Hospital Corporation System and method for cladding mode detection
KR101274517B1 (en) * 2007-11-13 2013-06-13 지고 코포레이션 Interferometer utilizing polarization scanning
US8126677B2 (en) 2007-12-14 2012-02-28 Zygo Corporation Analyzing surface structure using scanning interferometry
WO2009089344A1 (en) * 2008-01-08 2009-07-16 Oncoscope, Inc. Systems and methods for tissue examination, diagnostic, treatment, and/or monitoring
JP2009264799A (en) * 2008-04-22 2009-11-12 Canon Inc Measurement apparatus, exposure apparatus, and device method for manufacturing
US7898656B2 (en) * 2008-04-30 2011-03-01 The General Hospital Corporation Apparatus and method for cross axis parallel spectroscopy
EP2274572A4 (en) 2008-05-07 2013-08-28 Gen Hospital Corp System, method and computer-accessible medium for tracking vessel motion during three-dimensional coronary artery microscopy
WO2009155536A2 (en) 2008-06-20 2009-12-23 The General Hospital Corporation Fused fiber optic coupler arrangement and method for use thereof
WO2010009136A2 (en) 2008-07-14 2010-01-21 The General Hospital Corporation Apparatus and methods for color endoscopy
JP2010122004A (en) * 2008-11-18 2010-06-03 Canon Inc Measurement apparatus, measurement method, computer, program and, exposure apparatus
JP5228828B2 (en) * 2008-11-19 2013-07-03 株式会社ニコン Low coherence interferometer, low coherence interferometer, and low coherence interferometry method
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
JP5731394B2 (en) 2008-12-10 2015-06-10 ザ ジェネラル ホスピタル コーポレイション System, apparatus and method for extending imaging depth range of optical coherence tomography through optical subsampling
US8941818B2 (en) * 2009-01-09 2015-01-27 Asmr Holding B.V. Optical rangefinder and imaging apparatus with chiral optical arrangement
US9615748B2 (en) 2009-01-20 2017-04-11 The General Hospital Corporation Endoscopic biopsy apparatus, system and method
WO2010085775A2 (en) 2009-01-26 2010-07-29 The General Hospital Corporation System, method and computer-accessible medium for providing wide-field superresolution microscopy
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
JP2010192470A (en) * 2009-02-13 2010-09-02 Canon Inc Measurement apparatus, exposure apparatus, and device manufacturing method
US9351642B2 (en) 2009-03-12 2016-05-31 The General Hospital Corporation Non-contact optical system, computer-accessible medium and method for measurement at least one mechanical property of tissue using coherent speckle technique(s)
BR112012001042A2 (en) 2009-07-14 2016-11-22 Gen Hospital Corp fluid flow measurement equipment and method within anatomical structure.
JP5406623B2 (en) * 2009-08-10 2014-02-05 キヤノン株式会社 Measuring apparatus, exposure apparatus, and device manufacturing method
JP2011040547A (en) * 2009-08-10 2011-02-24 Canon Inc Measurement apparatus, exposure apparatus, and method of manufacturing device
DE102010015944B4 (en) * 2010-01-14 2016-07-28 Dusemund Pte. Ltd. A thinning apparatus having a wet etcher and a monitor, and methods for in-situ measuring wafer thicknesses for monitoring thinning of semiconductor wafers
JP5787483B2 (en) * 2010-01-16 2015-09-30 キヤノン株式会社 Measuring apparatus and exposure apparatus
CA2787696A1 (en) 2010-01-22 2011-07-28 Adam Wax Multiple window processing schemes for spectroscopic optical coherence tomography (oct) and fourier domain low coherence interferometry
US9823127B2 (en) 2010-01-22 2017-11-21 Duke University Systems and methods for deep spectroscopic imaging of biological samples with use of an interferometer and spectrometer
ES2831223T3 (en) 2010-03-05 2021-06-07 Massachusetts Gen Hospital Apparatus for providing electromagnetic radiation to a sample
US9069130B2 (en) 2010-05-03 2015-06-30 The General Hospital Corporation Apparatus, method and system for generating optical radiation from biological gain media
US9795301B2 (en) 2010-05-25 2017-10-24 The General Hospital Corporation Apparatus, systems, methods and computer-accessible medium for spectral analysis of optical coherence tomography images
US9557154B2 (en) 2010-05-25 2017-01-31 The General Hospital Corporation Systems, devices, methods, apparatus and computer-accessible media for providing optical imaging of structures and compositions
EP2575591A4 (en) 2010-06-03 2017-09-13 The General Hospital Corporation Apparatus and method for devices for imaging structures in or at one or more luminal organs
US9510758B2 (en) 2010-10-27 2016-12-06 The General Hospital Corporation Apparatus, systems and methods for measuring blood pressure within at least one vessel
WO2013013049A1 (en) 2011-07-19 2013-01-24 The General Hospital Corporation Systems, methods, apparatus and computer-accessible-medium for providing polarization-mode dispersion compensation in optical coherence tomography
US10241028B2 (en) 2011-08-25 2019-03-26 The General Hospital Corporation Methods, systems, arrangements and computer-accessible medium for providing micro-optical coherence tomography procedures
NL2009273A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
EP2769491A4 (en) 2011-10-18 2015-07-22 Gen Hospital Corp Apparatus and methods for producing and/or providing recirculating optical delay(s)
WO2013148306A1 (en) 2012-03-30 2013-10-03 The General Hospital Corporation Imaging system, method and distal attachment for multidirectional field of view endoscopy
WO2013177154A1 (en) 2012-05-21 2013-11-28 The General Hospital Corporation Apparatus, device and method for capsule microscopy
CN102721467B (en) * 2012-07-11 2015-02-11 中国人民解放军国防科学技术大学 Real-time monitoring method for large-size laser faculae
JP6560126B2 (en) 2013-01-28 2019-08-14 ザ ジェネラル ホスピタル コーポレイション Apparatus and method for providing diffusion spectroscopy superimposed on optical frequency domain imaging
WO2014120791A1 (en) 2013-01-29 2014-08-07 The General Hospital Corporation Apparatus, systems and methods for providing information regarding the aortic valve
US11179028B2 (en) 2013-02-01 2021-11-23 The General Hospital Corporation Objective lens arrangement for confocal endomicroscopy
JP6378311B2 (en) 2013-03-15 2018-08-22 ザ ジェネラル ホスピタル コーポレイション Methods and systems for characterizing objects
WO2014186353A1 (en) 2013-05-13 2014-11-20 The General Hospital Corporation Detecting self-interefering fluorescence phase and amplitude
WO2014204466A1 (en) * 2013-06-20 2014-12-24 Halliburton Energy Services Inc. Optical computing device having a redundant light source and optical train
WO2015009932A1 (en) 2013-07-19 2015-01-22 The General Hospital Corporation Imaging apparatus and method which utilizes multidirectional field of view endoscopy
EP3021735A4 (en) 2013-07-19 2017-04-19 The General Hospital Corporation Determining eye motion by imaging retina. with feedback
JP6271896B2 (en) 2013-07-22 2018-01-31 キヤノン株式会社 Interferometry apparatus, lithography apparatus and article manufacturing method
EP3025173B1 (en) 2013-07-26 2021-07-07 The General Hospital Corporation Apparatus with a laser arrangement utilizing optical dispersion for applications in fourier-domain optical coherence tomography
US9733460B2 (en) 2014-01-08 2017-08-15 The General Hospital Corporation Method and apparatus for microscopic imaging
WO2015116986A2 (en) 2014-01-31 2015-08-06 The General Hospital Corporation System and method for facilitating manual and/or automatic volumetric imaging with real-time tension or force feedback using a tethered imaging device
WO2015153982A1 (en) 2014-04-04 2015-10-08 The General Hospital Corporation Apparatus and method for controlling propagation and/or transmission of electromagnetic radiation in flexible waveguide(s)
WO2016015052A1 (en) 2014-07-25 2016-01-28 The General Hospital Corporation Apparatus, devices and methods for in vivo imaging and diagnosis
US10107615B2 (en) 2016-04-20 2018-10-23 Quality Vision International, Inc. Remote probe for optical measuring machine
WO2018085242A1 (en) * 2016-11-03 2018-05-11 Corning Incorporated Methods of characterizing glass panels during plasma processing
US10107614B1 (en) 2017-04-18 2018-10-23 Quality Vision International, Inc. Optical pen for interferometric measuring machine
DE102017115922C5 (en) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Method and device for measuring and setting a distance between a machining head and a workpiece and associated method for regulation
JP7159017B2 (en) * 2018-11-22 2022-10-24 Dmg森精機株式会社 Displacement detector
CN109708588A (en) * 2019-01-14 2019-05-03 业成科技(成都)有限公司 Structured light projector and structure light depth sense device
CN111912933B (en) * 2019-12-09 2022-05-03 南开大学 Method and system for measuring total organic carbon in water
CN111912932B (en) * 2019-12-09 2022-05-17 南开大学 Method and system for measuring chemical oxygen demand in water

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5757502A (en) * 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
WO2003036229A1 (en) * 2001-10-25 2003-05-01 Toray Engineering Co., Ltd. Surface shape measuring method and device therefor
US20040085544A1 (en) * 2002-09-09 2004-05-06 De Groot Peter J. Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
WO2005029192A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Surface triangulation and profiling through a thin film coating
US20050073692A1 (en) * 2003-03-06 2005-04-07 De Groot Peter J. Profiling complex surface structures using scanning interferometry
US20050237537A1 (en) * 2004-04-22 2005-10-27 Ilya Leizerson Determination of thin film topograhpy

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (en) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel DEVICE FOR MEASURING COLORS
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (en) * 1983-12-13 1985-07-08 Anritsu Corp Thickness measuring apparatus
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (en) 1989-12-23 1991-06-27 Zeiss Carl Fa INTERFEROMETRIC SENSOR FOR MEASURING DISTANCE CHANGES IN A SMALL AREA
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE69231715D1 (en) 1991-03-04 2001-04-12 At & T Corp Manufacturing process of semiconductor integrated circuits using latent images
US5153669A (en) * 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
JPH05304627A (en) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd Side grip for video camera
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5777742A (en) * 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (en) 1993-06-21 1995-01-10 Jasco Corp Apparatus and method for measurement of film thickness of semiconductor multilayer thin film
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5491524A (en) * 1994-10-05 1996-02-13 Carl Zeiss, Inc. Optical coherence tomography corneal mapping apparatus
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5729343A (en) * 1995-11-16 1998-03-17 Nikon Precision Inc. Film thickness measurement apparatus with tilting stage and method of operation
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US5909282A (en) * 1996-05-31 1999-06-01 Tropel Corporation Interferometer for measuring thickness variations of semiconductor wafers
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) * 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (en) * 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Arrangement for optical coherence tomography and coherence topography
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (en) 1999-03-23 2001-05-15 윤덕용 Method and Apparatus for Three Dimensional Thickness Profile Measurement of Transparent Dielectric Thin-Film by White-Light Scanning Interferometry
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
DE10195052B3 (en) 2000-01-25 2015-06-18 Zygo Corp. Method and devices for determining a geometric property of a test object and optical profile measuring system
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (en) 2000-05-08 2001-11-09 Europ Economic Community Method of identifying an object
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US6775009B2 (en) 2000-07-27 2004-08-10 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
WO2002040938A2 (en) 2000-11-02 2002-05-23 Zygo Corporation Height scanning interferometry method and apparatus including phase gap analysis
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
KR100984809B1 (en) * 2002-05-02 2010-10-04 지고 코포레이션 Phase gap analysis for scanning interferometry
DE10392754T5 (en) * 2002-06-17 2005-08-25 Zygo Corp., Middlefield An interferometric optical system and methods providing an optical path length and a focus that are scanned simultaneously
US7046371B2 (en) * 2002-06-17 2006-05-16 Zygo Corporation Interferometer having a coupled cavity geometry for use with an extended source
US6882433B2 (en) * 2002-07-01 2005-04-19 Lightgage, Inc. Interferometer system of compact configuration
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US6985232B2 (en) * 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
WO2004111929A2 (en) * 2003-05-28 2004-12-23 Duke University Improved system for fourier domain optical coherence tomography
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
TWI335417B (en) * 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005114096A2 (en) * 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US7433046B2 (en) * 2004-09-03 2008-10-07 Carl Ziess Meditec, Inc. Patterned spinning disk based optical phase shifter for spectral domain optical coherence tomography
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7428057B2 (en) * 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5757502A (en) * 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
WO2003036229A1 (en) * 2001-10-25 2003-05-01 Toray Engineering Co., Ltd. Surface shape measuring method and device therefor
US20040085544A1 (en) * 2002-09-09 2004-05-06 De Groot Peter J. Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US20050073692A1 (en) * 2003-03-06 2005-04-07 De Groot Peter J. Profiling complex surface structures using scanning interferometry
WO2005029192A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Surface triangulation and profiling through a thin film coating
US20050237537A1 (en) * 2004-04-22 2005-10-27 Ilya Leizerson Determination of thin film topograhpy

Also Published As

Publication number Publication date
WO2007044786A3 (en) 2008-01-10
US7636168B2 (en) 2009-12-22
US20070086013A1 (en) 2007-04-19

Similar Documents

Publication Publication Date Title
US7636168B2 (en) Interferometry method and system including spectral decomposition
US7468799B2 (en) Scanning interferometry for thin film thickness and surface measurements
US7522288B2 (en) Compensation of systematic effects in low coherence interferometry
EP2232195B1 (en) Analyzing surface structure using scanning interferometry
EP1883781B1 (en) Analyzing low-coherence interferometry signals for thin film structures
JP5536667B2 (en) Scanning error correction in low coherence scanning interferometry
US8189202B2 (en) Interferometer for determining overlay errors
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
EP1664931B1 (en) Surface triangulation and profiling
TWI420068B (en) Interferometry for lateral metrology
US20120224183A1 (en) Interferometric metrology of surfaces, films and underresolved structures
KR101223195B1 (en) Interferometry method and apparatus for ellipsometry, relectometry, and scatterometry measurements, including characterization of thin film structure
US8854628B2 (en) Interferometric methods for metrology of surfaces, films and underresolved structures
US20120089365A1 (en) Data interpolation methods for metrology of surfaces, films and underresolved structures

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06825737

Country of ref document: EP

Kind code of ref document: A2