WO2007109356A2 - Device and method for etching flash memory gate stacks comprising high-k dielectric - Google Patents

Device and method for etching flash memory gate stacks comprising high-k dielectric Download PDF

Info

Publication number
WO2007109356A2
WO2007109356A2 PCT/US2007/007174 US2007007174W WO2007109356A2 WO 2007109356 A2 WO2007109356 A2 WO 2007109356A2 US 2007007174 W US2007007174 W US 2007007174W WO 2007109356 A2 WO2007109356 A2 WO 2007109356A2
Authority
WO
WIPO (PCT)
Prior art keywords
etching
chamber
etch
degrees celsius
plasma
Prior art date
Application number
PCT/US2007/007174
Other languages
French (fr)
Other versions
WO2007109356A3 (en
Inventor
Miehua Shen
Xikun Wang
Wei Liu
Yan Du
Deshmukh Shashank
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2007109356A2 publication Critical patent/WO2007109356A2/en
Publication of WO2007109356A3 publication Critical patent/WO2007109356A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • Integrated circuits play a significant role in the field of modern semiconductor technology.
  • the development of integrated circuits has made possible a modern world with advanced electrical technology.
  • Applications of integrated circuits are so widespread and their significance affects our every day lives from cellular phones, digital televisions, to flash memory chips in cameras.
  • These integrated circuits typically are formed on silicon substrates or wafers, which can include active semiconductor devices with structured processes for a wide range of stacked layers made from different materials,- allowing for memory capabilities.
  • IC semiconductor integrated circuits
  • dielectric materials such as silicon dioxide (SiOz) , silicon nitride (Si3N4) and silicon oxynitride (SiON) have been widely used.
  • SiOz silicon dioxide
  • Si3N4 silicon nitride
  • SiON silicon oxynitride
  • high dielectric constant materials ⁇ high k dielectric materials
  • a conventional flash memory film stack consists of poly 2 (control gate) /ONO (interpoly dielectric) /poly 1 (floating gate) gate oxide.
  • ONO inter-poly dielectric film is replaced with a high-k material.
  • high-k materials include aluminum oxide, (AI 2 O 3 ) , hafnium oxide
  • HfO x zirconium oxide (ZrO x ), titanium oxide (TiO x ), and mixtures thereof, and metal silicates such as HfSi x O y , ZrSiO 4 and mixtures thereof.
  • a method for" multi-chamber plasma etching to form high-k dielectric flash memory devices on a wafer.
  • the method includes etching an upper conductive material layer in a first plasma chamber with a cathode temperature below about 100 degrees Celsius to define a control gate and transferring the wafer from the first chamber to a hot cathode chamber without breaking vacuum.
  • plasma etching is performed of a high-k dielectric layer with a temperature between about 100 and about 300 degrees Celsius. The wafer is transferred from the hot cathode chamber to the first plasma chamber without breaking vacuum.
  • a lower polysilicon layer is etched with a cathode temperature below about 100 degrees Celsius to define a floating gate electrode.
  • a method for etching a wafer to form high-k dielectric flash memory devices includes etching an upper conductor layer in a first plasma chamber to define a control gate. The wafer is transferred from the first plasma chamber to a reactive ion etch chamber without breaking vacuum. A high-k dielectric layer is etched with a reactive ion etch process, and the wafer is transferred back to the first plasma chamber without breaking vacuum.
  • a lower polysilicon layer is etched to define a floating gate electrode.
  • a method capable of etching a wafer to form devices including a high-k dielectric layer.
  • the method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature and transferring the wafer from the first plasma chamber to a second chamber without breaking vacuum.
  • the high-k dielectric layer is etched in the second chamber. After etching the high-k dielectric layer, the wafer is transferred from the second chamber to the first plasma chamber without breaking vacuum.
  • a lower conductive material layer is etched with a low cathode temperature.
  • the high-k dielectric etch is performed with a plasma using a high temperature cathode.
  • the high-k dielectric etch is performed with a reactive ion etch.
  • an integrated etch station for etching of a flash memory high-k gate stack having a control gate and a floating gate, with a high-k dielectric between them.
  • the integrated etch chamber includes a first plasma chamber capable of etching to define the control gate and the floating gate of the flash memory stack.
  • the first plasma chamber is configured to etch in a temperature range of less than about 100 degrees Celsius.
  • a second chamber is configured to etch the high-k dielectric.
  • vacuum transfer chamber is coupled between the first plasma chamber and the second chamber, the vacuum transfer chamber includes a wafer transport means for transporting wafers between the first plasma chamber and the second chamber.
  • the second chamber is a high temperature 0 plasma etch chamber configured to etch in a temperature range of from about 100 degrees Celsius to about 300 degrees Celsius.
  • the second chamber is a reactive ion etch chamber configured to etch the high-k dielectric . 5
  • FIG. 1 shows a simplified example of an etched flash memory stack on a substrate.
  • FIG. 2 shows a simplified illustration of a two 0 chamber etch system for etching a high-k flash memory stack.
  • FIG. 1 shows a simplified example of an etched flash memory stack 100 on a substrate. 160.
  • a high-k dielectric 130 is located between a control gate 120 and a floating gate 140.
  • the control gate 120 and the floating gate 140 may be etched from polysilicon layers, or layers of other conducting material (not shown) .
  • the high-k dielectric 130 is defined from a layer (not shown) of high-k dielectric material after the control gate 120 is defined.
  • a gate oxide 150 is between the floating gate 140 and the substrate 160.
  • the stack 100 may include additional layers, not shown, such as barrier layers, etch stop layers, or the like.
  • a conventional ONO-based flash memory gate stack is etched in a chamber like a DPSII poly etcher, manufactured by Applied Materials, Inc., of Santa Clara, CA, at temperatures between 40-85 degrees Celsius. The temperature requirement primarily is driven by need to etch polysilicon with tight profile and critical dimension control.
  • high-k material films such as AI 2 O 3 and HfO x , for example, are very difficult to etch at temperatures below 100 degrees Celsius because the etch byproducts are non-volatile. Hence it is not practical to carry out the complete gate etch for high-k based flash memory stacks 100 in a single low temperature chamber.
  • FIG. 2 shows a simplified illustration of a two chamber etch system 200 for etching a high-k flash memory stack.
  • a first chamber 210 an etch is performed with a cathode 210c at a temperature below about 100 degrees Celsius to define a control gate 120 from a poly 2 layer or other conducting layer. The etch is stopped at the high-k dielectric material.
  • the first chamber 210 may be a DPSII etch chamber available from Applied Materials, Inc.
  • the wafer 202 is transported through a vacuum transport chamber 240, which typically has a wafer transport means such as a robotic arm (not shown) , to a second chamber 220, where a high-k dielectric etch is performed.
  • This process is typically controlled by a microprocessor (not shown) .
  • the high-k dielectric etch is performed using a hot cathode 220c with a temperature in a range from about ,100 degrees Celsius to about 300 degrees Celsius.
  • the high-k dielectric etch is performed using a hot cathode 220c with a temperature in a range from about 250 degrees Celsius to about 300 degrees Celsius.
  • the high-k etch defines the high-k di.electric 130 shown in FIG. 1.
  • the high-k material film may be etched with up to 700% over etch.
  • a DPSII-HT available from Applied Materials, Inc., or other comparable etch chamber may be used to perform the high-k dielectric etch.
  • the second etch chamber 220 is a reactive ion etch or RIE chamber, which is used to perform an ion bombardment assisted chemical etch of the high- k material. Such an etch may be performed at less than 100 degrees Celsius, if desired.
  • a high-k material such as AI2O 3 may be etched with a reactant mixture having BCI 3 and a hydrocarbon passivation gas such as C 2 H 4 , with a diluent of He, as disclosed in U.S. Patent Application No. 11/208,573, by Wang et al., entitled METHOD FOR ETCHING HIGH DIELECTRIC CONSTANT MATERIALS, filed 08/22/2005, herein incorporated by reference in its entirety.
  • a high temperature etch at greater than about 150 degrees Celsius may be used with a BCl 3 based chemistry, to provide high selectivity, with a near vertical AI 2 O 3 interface and virtually no -control gate poly attack.
  • a greater than 1.5 to 1 selectivity between the AI 2 O 3 and poly during the Al 2 O 3 etch is possible.
  • a high-k material such as hafnium oxide may be etched using 250 degrees Celsius, or higher.
  • Various embodiments may provide one or more advantages in high-k flash memory processing.
  • Using a separate chamber for the high-k dielectric etch allows high temperature etching by forming volatile etch byproducts .
  • volatile etch byproducts For example, CF 4 , C 2 F 6 ,- CHF 3 chemistries can provide high etch selectivity in conventional processing.
  • these etchant gases combine to form nonvolatile compounds, such as AIF3 in the case of AI 3 O 2 high-k dielectric.
  • another chemistry that forms volatile etch byproducts, such as Cl could be used to etch the high-k material .
  • a single chamber clean to achieve consistent chamber performance and a high mean wafer between cleaning or MWBC rate is not easy in a single chamber.
  • Using different chambers for etching the high-k material and the gate material limits the types of byproducts, so improves process consistency and the MWBC rate.
  • a separate first chamber 210 for the polysilicon for example, a standard clean process may be used in the first chamber 210, and a different clean process may be used for the high-k dielectric byproducts in the second chamber 220, depending on the particular byproduct .
  • the high temperature for the high-k dielectric plasma etch in the second chamber 220 allows the high-k dielectric material to be etched faster than in a conventional low temperature plasma chamber. Further, at high temperature, the etch byproduct is more volatile, without causing much change in the etch rate of polysilicon. Thus, the selectivity to polysilicon is high, allowing use of an over etch of the high-k material of up to about 700%, or even greater than about 700%.
  • additional gate etch chambers and/or high-k dielectric etch chambers may be used.

Abstract

In one implementation, a method is provided capable of etching a wafer to form devices including a high-k dielectric layer. The method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature, transferring the wafer to a second chamber without breaking vacuum, etching a high-k dielectric layer in the second chamber, and transferring the wafer from the second chamber to the first plasma chamber without breaking vacuum. A lower conductive material layer is etched with a low cathode temperature in the first chamber. In one implementation, the high-k dielectric etch is a plasma etch using a high temperature cathode. In another implementation, the high-k dielectric etch is a reactive ion etch.

Description

DEVICE AND METHOD FOR ETCHING FLASH MEMORY GATE STACKS COMPRISING HIGH-K DIELECTRIC
BACKGROUND [0001] Integrated circuits (IC) play a significant role in the field of modern semiconductor technology. The development of integrated circuits has made possible a modern world with advanced electrical technology. Applications of integrated circuits are so widespread and their significance affects our every day lives from cellular phones, digital televisions, to flash memory chips in cameras. These integrated circuits typically are formed on silicon substrates or wafers, which can include active semiconductor devices with structured processes for a wide range of stacked layers made from different materials,- allowing for memory capabilities.
[0002] Recently, in modern semiconductor technology, integrated circuits have advanced towards smaller devices with more memory. In the manufacture of semiconductor integrated circuits (IC), typically, dielectric materials such as silicon dioxide (SiOz) , silicon nitride (Si3N4) and silicon oxynitride (SiON) have been widely used. However, as technology has progressed, IC device geometry has become smaller, resulting in progressively thinner integrated circuit devices. When typical IC devices approach thicknesses of a few nanometers or less, conventional aforementioned dielectric materials can typically undergo electronic breakdown and can no longer provide the memory storage needed.
[0003] To address the aforementioned problems, high dielectric constant materials {high k dielectric materials) have been used in semiconductor chip manufacturing with their potential application in memory devices, such as flash memory. A conventional flash memory film stack consists of poly 2 (control gate) /ONO (interpoly dielectric) /poly 1 (floating gate) gate oxide. One of the key changes in the gate film stack at 65 nanometer node and beyond, for flash memory applications, is the replacement of the ONO inter-poly dielectric film with a high-k material. Examples of high-k materials include aluminum oxide, (AI2O3) , hafnium oxide
(HfOx), zirconium oxide (ZrOx), titanium oxide (TiOx), and mixtures thereof, and metal silicates such as HfSixOy, ZrSiO4 and mixtures thereof.
[0004] Because of the different composition and reduced size of the high-k dielectric flash memory stack, processing can not be efficiently carried out with conventional etch chamber processing. Therefore, what is needed is an etch chamber and processing methods designed for efficient processing of high-k dielectric flash memory stacks.
SUMMARY [0005] In one implementation, a method is provided for" multi-chamber plasma etching to form high-k dielectric flash memory devices on a wafer. The method includes etching an upper conductive material layer in a first plasma chamber with a cathode temperature below about 100 degrees Celsius to define a control gate and transferring the wafer from the first chamber to a hot cathode chamber without breaking vacuum. In the hot cathode chamber, plasma etching is performed of a high-k dielectric layer with a temperature between about 100 and about 300 degrees Celsius. The wafer is transferred from the hot cathode chamber to the first plasma chamber without breaking vacuum. In the first plasma chamber a lower polysilicon layer is etched with a cathode temperature below about 100 degrees Celsius to define a floating gate electrode. [0006] In one implementation, a method for etching a wafer to form high-k dielectric flash memory devices is provided. The method includes etching an upper conductor layer in a first plasma chamber to define a control gate. The wafer is transferred from the first plasma chamber to a reactive ion etch chamber without breaking vacuum. A high-k dielectric layer is etched with a reactive ion etch process, and the wafer is transferred back to the first plasma chamber without breaking vacuum. In the first plasma chamber, a lower polysilicon layer is etched to define a floating gate electrode.
[0007] In one implementation a method is provided capable of etching a wafer to form devices including a high-k dielectric layer. The method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature and transferring the wafer from the first plasma chamber to a second chamber without breaking vacuum. The high-k dielectric layer is etched in the second chamber. After etching the high-k dielectric layer, the wafer is transferred from the second chamber to the first plasma chamber without breaking vacuum. In the first plasma chamber a lower conductive material layer is etched with a low cathode temperature. In one implementation, the high-k dielectric etch is performed with a plasma using a high temperature cathode. In another implementation, the high-k dielectric etch is performed with a reactive ion etch.
[0008] In one embodiment, an integrated etch station is provided for etching of a flash memory high-k gate stack having a control gate and a floating gate, with a high-k dielectric between them. The integrated etch chamber includes a first plasma chamber capable of etching to define the control gate and the floating gate of the flash memory stack. The first plasma chamber is configured to etch in a temperature range of less than about 100 degrees Celsius. A second chamber is configured to etch the high-k dielectric. A
5. vacuum transfer chamber is coupled between the first plasma chamber and the second chamber, the vacuum transfer chamber includes a wafer transport means for transporting wafers between the first plasma chamber and the second chamber. In some embodiments, the second chamber is a high temperature 0 plasma etch chamber configured to etch in a temperature range of from about 100 degrees Celsius to about 300 degrees Celsius. In other embodiments, the second chamber is a reactive ion etch chamber configured to etch the high-k dielectric . 5
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] FIG. 1 shows a simplified example of an etched flash memory stack on a substrate.
[00010] FIG. 2 shows a simplified illustration of a two 0 chamber etch system for etching a high-k flash memory stack.
DESCRIPTION
[00011] FIG. 1 shows a simplified example of an etched flash memory stack 100 on a substrate. 160. A hardmask 110 in an ' etch process to define the stack 100- A high-k dielectric 130 is located between a control gate 120 and a floating gate 140. The control gate 120 and the floating gate 140 may be etched from polysilicon layers, or layers of other conducting material (not shown) . The high-k dielectric 130 is defined from a layer (not shown) of high-k dielectric material after the control gate 120 is defined. A gate oxide 150 is between the floating gate 140 and the substrate 160. The stack 100 may include additional layers, not shown, such as barrier layers, etch stop layers, or the like.
[00012] A conventional ONO-based flash memory gate stack is etched in a chamber like a DPSII poly etcher, manufactured by Applied Materials, Inc., of Santa Clara, CA, at temperatures between 40-85 degrees Celsius. The temperature requirement primarily is driven by need to etch polysilicon with tight profile and critical dimension control. [00013] Unlike ONO (SiO2/SiN/Si02 sandwich) films, high-k material films such as AI2O3 and HfOx, for example, are very difficult to etch at temperatures below 100 degrees Celsius because the etch byproducts are non-volatile. Hence it is not practical to carry out the complete gate etch for high-k based flash memory stacks 100 in a single low temperature chamber.
[00014] FIG. 2 shows a simplified illustration of a two chamber etch system 200 for etching a high-k flash memory stack. Referring to FIGS. 1 and 2, in a first chamber 210 an etch is performed with a cathode 210c at a temperature below about 100 degrees Celsius to define a control gate 120 from a poly 2 layer or other conducting layer. The etch is stopped at the high-k dielectric material. The first chamber 210 may be a DPSII etch chamber available from Applied Materials, Inc.
[00015] The wafer 202 is transported through a vacuum transport chamber 240, which typically has a wafer transport means such as a robotic arm (not shown) , to a second chamber 220, where a high-k dielectric etch is performed. This process is typically controlled by a microprocessor (not shown) . In the second chamber 220 the high-k dielectric etch is performed using a hot cathode 220c with a temperature in a range from about ,100 degrees Celsius to about 300 degrees Celsius. In some implementations, the high-k dielectric etch is performed using a hot cathode 220c with a temperature in a range from about 250 degrees Celsius to about 300 degrees Celsius. The high-k etch defines the high-k di.electric 130 shown in FIG. 1. In the second etch chamber 220,- the high-k material film may be etched with up to 700% over etch. A DPSII-HT, available from Applied Materials, Inc., or other comparable etch chamber may be used to perform the high-k dielectric etch.
[00016] In another embodiment, the second etch chamber 220 is a reactive ion etch or RIE chamber, which is used to perform an ion bombardment assisted chemical etch of the high- k material. Such an etch may be performed at less than 100 degrees Celsius, if desired.
[00017] In one example implementation, a high-k material such as AI2O3 may be etched with a reactant mixture having BCI3 and a hydrocarbon passivation gas such as C2H4, with a diluent of He, as disclosed in U.S. Patent Application No. 11/208,573, by Wang et al., entitled METHOD FOR ETCHING HIGH DIELECTRIC CONSTANT MATERIALS, filed 08/22/2005, herein incorporated by reference in its entirety. In one implementation, a high temperature etch at greater than about 150 degrees Celsius may be used with a BCl3 based chemistry, to provide high selectivity, with a near vertical AI2O3 interface and virtually no -control gate poly attack. Thus, a greater than 1.5 to 1 selectivity between the AI2O3 and poly during the Al2O3 etch is possible. In another example, a high-k material such as hafnium oxide may be etched using 250 degrees Celsius, or higher. [00018] After etching the high-k dielectric 130, the wafer 202 is returned back to the first chamber 210 to complete etching of the floating gate 140. The etch is stopped on .the gate oxide 150. The floating gate 140 may be a poly 1 or ' other conducting material.
[00019] Various embodiments may provide one or more advantages in high-k flash memory processing. Using a separate chamber for the high-k dielectric etch allows high temperature etching by forming volatile etch byproducts . For example, CF4, C2F6,- CHF3 chemistries can provide high etch selectivity in conventional processing. When used to etch high-k materials, these etchant gases combine to form nonvolatile compounds, such as AIF3 in the case of AI3O2 high-k dielectric. Thus, another chemistry that forms volatile etch byproducts, such as Cl, could be used to etch the high-k material .
[00020] Further, by using separate chambers for the control and/or floating gate 120 and 140 etch, and the high-k dielectric 130, it is easier to maintain consistent chamber conditions and wall effects from wafer to wafer, enabling volume production. Moreover, using separate chambers for the etching of flash memory stacks allows different plasma generation sources for the two chambers, one optimized for etching gate materials and the other for etching high-k dielectric materials. In contrast, etching the entire flash memory stack 100 in a single chamber can produce undesirable etch byproducts. For example, etching a high-k film of AI2O3 and a gate electrode film of polysilicon in the same chamber can result in Al and Si based etch byproducts in the chamber. Keeping a single chamber clean to achieve consistent chamber performance and a high mean wafer between cleaning or MWBC rate is not easy in a single chamber. Using different chambers for etching the high-k material and the gate material limits the types of byproducts, so improves process consistency and the MWBC rate. By using a separate first chamber 210 for the polysilicon for example, a standard clean process may be used in the first chamber 210, and a different clean process may be used for the high-k dielectric byproducts in the second chamber 220, depending on the particular byproduct .
[00021] The high temperature for the high-k dielectric plasma etch in the second chamber 220, about 100 to about 300 degrees Celsius, allows the high-k dielectric material to be etched faster than in a conventional low temperature plasma chamber. Further, at high temperature, the etch byproduct is more volatile, without causing much change in the etch rate of polysilicon. Thus, the selectivity to polysilicon is high, allowing use of an over etch of the high-k material of up to about 700%, or even greater than about 700%. [00022] Although shown with one chamber 210 for gate etching and one chamber 220 for high-k dielectric etch, in some embodiments additional gate etch chambers and/or high-k dielectric etch chambers may be used. Further, although the above description is made with reference to etching of flash memory, embodiments and implementations of the present invention are applicable to processing of any multilayer stack including high-k dielectric material, and where both low and high temperature plasma etch processes are desirable, or where a low temperature plasma etch combined with a reactive ion etch is beneficial .
[00023] While the invention herein disclosed has been described by the specific embodiments and implementations, numerous modifications and variations could be made thereto by those skilled in the art without departing from the scope of the invention set forth in the claims .

Claims

WHAT IS CLAIMED IS:
1. A method for multi-chamber plasma etching to form high-k dielectric flash memory devices on a wafer, the method comprising: a) etching an upper conductive material layer in a first plasma chamber with a cathode temperature below about 100 degrees Celsius to define a control gate; b) transferring the wafer from the first plasma chamber to a hot cathode chamber without breaking vacuum; c) etching a high-k dielectric layer with a plasma in the hot cathode chamber with a temperature in a range from about 100 degrees Celsius and to about 300 degrees Celsius; d) transferring the wafer from the 'hot cathode chamber to the first plasma chamber without breaking vacuum; and e) etching a lower polysilicon layer in the first plasma chamber with a cathode temperature below about 100 degrees Celsius to define a floating gate electrode.
2. The method of Claim 1, wherein etching the high-k material layer comprises plasma etching with a cathode temperature in a range above about 150 degrees Celsius.
3. The method of Claim 2, wherein etching the high-k material layer comprises plasma etching with a cathode temperature in a range above about 250 degrees Celsius.
4. The method of Claim 1, wherein etching the upper conductive material layer comprises etching least one of: (a) polysilicon layer; or <b) a metal comprising layer.
5. The method of Claim 1, wherein etching the upper conductive material layer comprises etching at least one layer comprising: (a) polysilicon; (b) tungsten; (c) tungsten nitride; or (d) tungsten silicide.
6. The method of Claim 1, wherein etching the high-k material layer comprises etching with a cathode temperature above about 250 degrees Celsius.
7. The method of Claim 6, wherein etching the lower polysilicon layer comprises etching the lower polysilicon layer with a cathode temperature below about 80 degrees Celsius .
8. The method of Claim 7 , wherein etching the upper conductive material layer comprises etching polysilicon with a cathode temperature below about 80 degrees Celsius.
9. A method for etching a wafer to form high-k dielectric flash memory devices, the method comprising: a) etching an upper conductor layer in a first plasma chamber to define a control gate; b) transferring the wafer from the first plasma chamber to a reactive ion etch chamber without breaking vacuum; c) etching a high-k dielectric layer with a reactive ion etch process; d) transferring the wafer from the reactive ion etch chamber to the first plasma chamber without breaking vacuum; and e) etching a lower polysilicon layer in the first plasma chamber to define a floating gate electrode.
10. A method capable of etching a wafer to form devices including a high-k dielectric layer, the method comprising: a) etching an upper conductive material layer in a first plasma chamber with a low cathode temperature; b) transferring the wafer from the first plasma chamber to a second chamber without breaking vacuum; c) etching the high-k dielectric layer in the second chamber; d) transferring the wafer from the second chamber to the first plasma chamber without breaking vacuum after etching the high-k dielectric layer; and e) etching the lower conductive material layer in the first plasma chamber with a low cathode temperature.
11. The method of Claim 10, wherein etching the upper conductive layer comprises plasma etching a polysilicon layer with a cathode temperature below about 100 degrees Celsius, and wherein etching the high-k dielectric layer comprises plasma etching the high-k electric layer with a hot cathode chamber, and wherein etching the lower conductive material layer comprises etching a polysilicon layer using a cathode temperature below about 100 degrees Celsius.
12. The method of Claim 10, wherein etching the upper conductive layer comprises plasma etching a polysilicon layer using a cathode temperature below about 100 degrees Celsius to define a control gate, and wherein etching the high-k dielectric layer comprises etching the high-k electric layer with up to about 700% over etch in a hot cathode chamber using a cathode temperature between about 100 degrees Celsius and about 300 degrees Celsius, and wherein etching the lower conductive material layer comprises etching a polysilicon layer to a gate oxide layer using a cathode temperature below about 100 degrees Celsius to define a floating gate.
13. The method of Claim 10, wherein etching the upper conductive layer comprises plasma etching a polysilicon layer with a cathode temperature below about 100 degrees Celsius, and wherein etching the high-k dielectric layer comprises reactive etching the high-k electric layer, and wherein etching the lower conductive material layer comprises etching a polysilicon layer using a cathode temperature below about 100 degrees Celsius.
14. The method of Claim 10, wherein etching the upper conductive layer comprises etching a polysilicon layer using a cathode temperature below about 100 degrees Celsius to define a control gate, and wherein etching the high-k dielectric layer comprises reactive ion etching of the high-k electric layer with up to about 700% over etch, and wherein etching the lower conductive material layer comprises etching a polysilicon layer to a gate oxide layer using a cathode temperature below about 100 degrees Celsius to define a floating gate.
15.- An integrated etch station for etching of a flash memory high-k gate stack comprising a control gate and a floating gate with a high-k. dielectric therebetween, the integrated etch chamber comprising: a) a first plasma chamber capable of etching to define the control gate and the floating gate of the flash memory stack, the first plasma chamber being configured to etch in a temperature range of less than about 100 degrees
Celsius; b) a second chamber configured to etch the high-k dielectric; and c) a vacuum transfer chamber coupled between the first plasma chamber and the second chamber, the vacuum transfer chamber comprising a wafer transport means for transporting wafers between the first plasma chamber and the second chamber.
16. The integrated etch station of Claim 15, wherein the second chamber is a high temperature plasma etch chamber configured to etch in a temperature range of from about 100 degrees Celsius to about 300 degrees Celsius.
17. The integrated etch station of Claim 16, wherein the second chamber is configured so as to capable of etching the high-k material using chlorine chemistry.
18. The integrated etch station of Claim 17, wherein the second chamber is configured to etch using at least one of: a) BCl3; or b) Hbr.
19. The integrated etch station of Claim 16, wherein the second chamber is configured to etch at an etch temperature in a temperature range of from about 250 degrees Celsius to about 300 degrees Celsius.
20. The integrated etch station of Claim 15, wherein the second chamber is a reactive ion etch chamber configured to etch the high-k dielectric.
21. The integrated etch station of Claim 20, wherein the integrated etch station is configured to etch a wafer to define the control gate in the first plasma chamber, transfer the wafer to the second chamber, reactive ion etch the high-k dielectric, transfer the wafer from the second chamber back to the first plasma chamber, and etch the wafer to define a- floating gate in the first chamber.
22. The integrated etch station of Claim 16, wherein the integrated etch station is configured to etch a wafer to define the control gate in the first plasma chamber, transfer the wafer to the second chamber, plasma etch the high-k dielectric using a high cathode temperature in a range from about 100 degrees Celsius to about 300 degrees Celsius, transfer the wafer from the second chamber back to the first plasma chamber, and etch the wafer to define a floating gate in the first chamber.
PCT/US2007/007174 2006-03-21 2007-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric WO2007109356A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/386,054 2006-03-21
US11/386,054 US7780862B2 (en) 2006-03-21 2006-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric

Publications (2)

Publication Number Publication Date
WO2007109356A2 true WO2007109356A2 (en) 2007-09-27
WO2007109356A3 WO2007109356A3 (en) 2007-12-13

Family

ID=38523111

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/007174 WO2007109356A2 (en) 2006-03-21 2007-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric

Country Status (3)

Country Link
US (2) US7780862B2 (en)
TW (1) TWI374518B (en)
WO (1) WO2007109356A2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP2009021584A (en) 2007-06-27 2009-01-29 Applied Materials Inc High temperature etching method of high k material gate structure
US8193055B1 (en) 2007-12-18 2012-06-05 Sandisk Technologies Inc. Method of forming memory with floating gates including self-aligned metal nanodots using a polymer solution
US7723186B2 (en) * 2007-12-18 2010-05-25 Sandisk Corporation Method of forming memory with floating gates including self-aligned metal nanodots using a coupling layer
US9418864B2 (en) * 2008-01-30 2016-08-16 Infineon Technologies Ag Method of forming a non volatile memory device using wet etching
US7782600B2 (en) * 2008-01-31 2010-08-24 Ncr Corporation Access self-service terminal
US8383479B2 (en) * 2009-07-21 2013-02-26 Sandisk Technologies Inc. Integrated nanostructure-based non-volatile memory fabrication
US8804457B2 (en) 2011-03-31 2014-08-12 Maxim Integrated Products, Inc. Transmit/receive systems for imaging devices
US9401663B2 (en) 2012-12-21 2016-07-26 Infineon Technologies Austria Ag Power converter circuit with AC output
US9425622B2 (en) 2013-01-08 2016-08-23 Infineon Technologies Austria Ag Power converter circuit with AC output and at least one transformer
US9478989B2 (en) 2012-01-17 2016-10-25 Infineon Technologies Austria Ag Power converter circuit with AC output
US9484746B2 (en) 2012-01-17 2016-11-01 Infineon Technologies Austria Ag Power converter circuit with AC output
US9461474B2 (en) 2012-01-17 2016-10-04 Infineon Technologies Austria Ag Power converter circuit with AC output
JP6173684B2 (en) * 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265749B1 (en) * 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US20050282341A1 (en) * 2004-06-16 2005-12-22 International Business Machines Corporation High-temperature stable gate structure with metallic electrode

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
US4375385A (en) * 1982-03-25 1983-03-01 Rca Corporation Plasma etching of aluminum
JPH0666298B2 (en) * 1983-02-03 1994-08-24 日電アネルバ株式会社 Dry etching equipment
JPS6037129A (en) * 1983-08-10 1985-02-26 Hitachi Ltd Equipment for manufacturing semiconductor
JPS60169140A (en) * 1984-02-13 1985-09-02 Hitachi Ltd Dry etching method
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
US4897154A (en) * 1986-07-03 1990-01-30 International Business Machines Corporation Post dry-etch cleaning method for restoring wafer properties
US4842676A (en) * 1987-07-16 1989-06-27 Texas Instruments Incorporated Process for etch of tungsten
US4855016A (en) * 1987-07-16 1989-08-08 Texas Instruments Incorporated Method for etching aluminum film doped with copper
US4940509A (en) * 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
US5236537A (en) * 1989-04-07 1993-08-17 Seiko Epson Corporation Plasma etching apparatus
JPH02291131A (en) 1989-04-28 1990-11-30 Sony Corp Dry etching of barrier metal/aluminum laminated film
FR2670693B1 (en) * 1990-12-20 1993-04-16 Dutartre Didier PROCESS FOR CLEANING THE SURFACE OF A SUBSTRATE BY PLASMA.
JPH04253328A (en) * 1991-01-29 1992-09-09 Hitachi Ltd Surface treatment device
US5468679A (en) * 1991-02-25 1995-11-21 Symetrix Corporation Process for fabricating materials for ferroelectric, high dielectric constant, and integrated circuit applications
US5223453A (en) * 1991-03-19 1993-06-29 The United States Of America As Represented By The United States Department Of Energy Controlled metal-semiconductor sintering/alloying by one-directional reverse illumination
JPH07109825B2 (en) * 1992-01-13 1995-11-22 富士通株式会社 Dry cleaning method for semiconductor substrate surface or thin film surface
US5427638A (en) * 1992-06-04 1995-06-27 Alliedsignal Inc. Low temperature reaction bonding
JP2560178B2 (en) 1992-06-29 1996-12-04 九州電子金属株式会社 Method for manufacturing semiconductor wafer
US5258333A (en) * 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
JP3115715B2 (en) 1992-11-12 2000-12-11 三菱電機株式会社 Method for etching multi-component oxide film having high dielectric constant, method for etching high-melting-point metal-containing film, and method for manufacturing thin-film capacitor element
US5369544A (en) * 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
KR960002534A (en) * 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5932048A (en) * 1995-04-06 1999-08-03 Komatsu Electronic Metals Co., Ltd. Method of fabricating direct-bonded semiconductor wafers
JP3105770B2 (en) * 1995-09-29 2000-11-06 日本電気株式会社 Method for manufacturing semiconductor device
WO1997015071A1 (en) * 1995-10-20 1997-04-24 Philips Electronics N.V. Manufacture of a semiconductor device with selectively deposited semiconductor zone
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2839027B2 (en) * 1997-01-23 1998-12-16 住友電気工業株式会社 Heat treatment method for II-VI compound semiconductor
US6204087B1 (en) * 1997-02-07 2001-03-20 University Of Hawai'i Fabrication of three-dimensional architecture for solid state radiation detectors
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5983907A (en) * 1997-08-05 1999-11-16 Seh America, Inc. Method of drying semiconductor wafers using hot deionized water and infrared drying
US6379576B2 (en) * 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
EP1019954B1 (en) * 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
KR100528685B1 (en) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 Method for processing surface of sample
US6077776A (en) * 1998-03-18 2000-06-20 Taiwan Semiconductor Manufacturing Company Polysilicon residue free process by thermal treatment
US20010055868A1 (en) * 1998-05-22 2001-12-27 Madan Sudhir K. Apparatus and method for metal layer streched conducting plugs
KR100305527B1 (en) * 1998-07-09 2001-11-01 니시무로 타이죠 Method and apparatus for manufactu ring semiconductor device
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US6255226B1 (en) * 1998-12-01 2001-07-03 Philips Semiconductor, Inc. Optimized metal etch process to enable the use of aluminum plugs
KR100271948B1 (en) * 1998-12-01 2000-11-15 윤종용 Method for forming self-align silicide in semiconductor device
DE19856082C1 (en) * 1998-12-04 2000-07-27 Siemens Ag Process for structuring a metal-containing layer
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US6309927B1 (en) * 1999-03-05 2001-10-30 Advanced Micro Devices, Inc. Method of forming high K tantalum pentoxide Ta2O5 instead of ONO stacked films to increase coupling ratio and improve reliability for flash memory devices
JP2000294530A (en) * 1999-04-06 2000-10-20 Nec Corp Method for cleaning semiconductor substrate and its cleaner
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6413863B1 (en) * 2000-01-24 2002-07-02 Taiwan Semiconductor Manufacturing Company Method to resolve the passivation surface roughness during formation of the AlCu pad for the copper process
JP2002252211A (en) * 2001-02-23 2002-09-06 Nec Corp Method for manufacturing semiconductor device
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
US6566148B2 (en) * 2001-08-13 2003-05-20 Sharp Laboratories Of America, Inc. Method of making a ferroelectric memory transistor
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6717193B2 (en) * 2001-10-09 2004-04-06 Koninklijke Philips Electronics N.V. Metal-insulator-metal (MIM) capacitor structure and methods of fabricating same
US6713342B2 (en) * 2001-12-31 2004-03-30 Texas Instruments Incorporated FeRAM sidewall diffusion barrier etch
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20050176191A1 (en) * 2003-02-04 2005-08-11 Applied Materials, Inc. Method for fabricating a notched gate structure of a field effect transistor
JP4358556B2 (en) 2003-05-30 2009-11-04 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2004109773A2 (en) * 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for heating a substrate using a plasma
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
JP2005268292A (en) * 2004-03-16 2005-09-29 Toshiba Corp Process for fabricating semiconductor device
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US20070190795A1 (en) * 2006-02-13 2007-08-16 Haoren Zhuang Method for fabricating a semiconductor device with a high-K dielectric
KR100833444B1 (en) * 2006-03-28 2008-05-29 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265749B1 (en) * 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US20050282341A1 (en) * 2004-06-16 2005-12-22 International Business Machines Corporation High-temperature stable gate structure with metallic electrode

Also Published As

Publication number Publication date
US7780862B2 (en) 2010-08-24
TWI374518B (en) 2012-10-11
TW200802733A (en) 2008-01-01
US20070224813A1 (en) 2007-09-27
WO2007109356A3 (en) 2007-12-13
US20080011423A1 (en) 2008-01-17

Similar Documents

Publication Publication Date Title
US7780862B2 (en) Device and method for etching flash memory gate stacks comprising high-k dielectric
US10692880B2 (en) 3D NAND high aspect ratio structure etch
US7964512B2 (en) Method for etching high dielectric constant materials
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
KR100639147B1 (en) Plasma processing method
EP1923910B1 (en) Selective removal of rare earth comprising materials in a semiconductor device
TWI604562B (en) Method of selective nitridation
US20070210354A1 (en) Semiconductor device and semiconductor device manufacturing method
US7579282B2 (en) Method for removing metal foot during high-k dielectric/metal gate etching
US9478643B2 (en) Memory structure with self-aligned floating and control gates and associated methods
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
TWI647822B (en) Three-dimensional non-volatile memory and manufacturing method thereof
JP6104928B2 (en) Interlayer polycrystalline silicon dielectric cap and method of forming the same
KR100673228B1 (en) Method of manufacturing a nand flash memory device
US20210108309A1 (en) Techniques to improve adhesion and defects for tungsten carbide film
KR100650799B1 (en) Method of manufacturing in flash memory device
KR20080074406A (en) Method of manufacturing a non-volatile memory device
KR20080046349A (en) Method of manufacturing a non-volatile semiconductor device
KR20070022608A (en) A method for etching high dielectric constant materials

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07753776

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07753776

Country of ref document: EP

Kind code of ref document: A2