WO2007111771A3 - Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array - Google Patents

Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array Download PDF

Info

Publication number
WO2007111771A3
WO2007111771A3 PCT/US2007/001953 US2007001953W WO2007111771A3 WO 2007111771 A3 WO2007111771 A3 WO 2007111771A3 US 2007001953 W US2007001953 W US 2007001953W WO 2007111771 A3 WO2007111771 A3 WO 2007111771A3
Authority
WO
WIPO (PCT)
Prior art keywords
gate array
forming
methods
circuity
field effect
Prior art date
Application number
PCT/US2007/001953
Other languages
French (fr)
Other versions
WO2007111771A2 (en
Inventor
Young Pil Kim
Kunal R Parekh
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to JP2008553261A priority Critical patent/JP5163959B2/en
Priority to EP07749192.6A priority patent/EP1979937B1/en
Priority to CN2007800037288A priority patent/CN101375381B/en
Publication of WO2007111771A2 publication Critical patent/WO2007111771A2/en
Publication of WO2007111771A3 publication Critical patent/WO2007111771A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Abstract

The invention includes methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry- comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates. In one implementation, a method of forming a field effect transistor includes forming masking material (22, 24, 26) over semiconductive material of a substrate (11). A trench (30) is formed through the masking material (22, 24, 26) and into the semiconductive material (11). Gate dielectric material (32) is formed within the trench (30) in the semiconductive material (11). Gate material (34) is deposited within the trench (30) in the masking material (22, 24, 26) and within the trench (30) in the semiconductive material (11) over the gate dielectric material (32). Source/drain regions are formed. Other aspects and implementations are contemplated.
PCT/US2007/001953 2006-02-02 2007-01-23 Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array WO2007111771A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008553261A JP5163959B2 (en) 2006-02-02 2007-01-23 Method of forming a field effect transistor and method of forming an integrated circuit including a transistor gate array and a gate array peripheral circuit
EP07749192.6A EP1979937B1 (en) 2006-02-02 2007-01-23 Methods of forming field effect transistors and methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array
CN2007800037288A CN101375381B (en) 2006-02-02 2007-01-23 Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/346,914 2006-02-02
US11/346,914 US7700441B2 (en) 2006-02-02 2006-02-02 Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates

Publications (2)

Publication Number Publication Date
WO2007111771A2 WO2007111771A2 (en) 2007-10-04
WO2007111771A3 true WO2007111771A3 (en) 2007-12-21

Family

ID=38093430

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/001953 WO2007111771A2 (en) 2006-02-02 2007-01-23 Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array

Country Status (8)

Country Link
US (3) US7700441B2 (en)
EP (1) EP1979937B1 (en)
JP (1) JP5163959B2 (en)
KR (1) KR101010475B1 (en)
CN (2) CN102013412B (en)
SG (2) SG169367A1 (en)
TW (1) TWI385734B (en)
WO (1) WO2007111771A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5628471B2 (en) * 2007-12-10 2014-11-19 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device and manufacturing method of semiconductor device
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
KR101129922B1 (en) * 2010-07-15 2012-03-23 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
KR101205118B1 (en) * 2011-03-11 2012-11-26 에스케이하이닉스 주식회사 Semiconductor Device and Method for Manufacturing the same
US9673102B2 (en) 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
KR20130064290A (en) * 2011-12-08 2013-06-18 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
JP6299102B2 (en) * 2012-08-07 2018-03-28 株式会社デンソー Silicon carbide semiconductor device and manufacturing method thereof
CN109427808B (en) * 2017-08-30 2021-04-02 旺宏电子股份有限公司 Semiconductor memory element and method for manufacturing the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1986003341A1 (en) * 1984-11-27 1986-06-05 American Telephone & Telegraph Company Trench transistor
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US5972754A (en) * 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6476444B1 (en) * 1999-03-18 2002-11-05 Hyundai Electronics Industries Co., Ltd. Semiconductor device and method for fabricating the same
US20030042512A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Vertical transistor and method of making
EP1391939A1 (en) * 2001-05-30 2004-02-25 Sony Corporation Method for manufacturing channel gate type field effect transistor
US20040222458A1 (en) * 2003-05-06 2004-11-11 Mosel Vitelic, Inc. Termination structure for trench DMOS device and method of making the same
WO2005083770A1 (en) * 2004-03-02 2005-09-09 Tae-Pok Rhee Semiconductor device of high breakdown voltage and manufacturing method thereof

Family Cites Families (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (en) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 Semiconductor memory
GB2190789B (en) 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (en) 1987-01-26 1988-07-29 Mitsubishi Electric Corp Semiconductor storage device
FR2625044B1 (en) * 1987-12-18 1990-08-31 Commissariat Energie Atomique TRANSISTOR MOS WITH END OF DIELECTRIC INTERFACE OF GRID / RAISED SUBSTRATE AND MANUFACTURING METHOD THEREOF
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (en) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk METHOD FOR PRODUCING A SEMICONDUCTOR ARRANGEMENT
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (en) 1988-09-30 1990-04-05 Toshiba Corp Semiconductor device and manufacture thereof
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (en) 1990-04-21 1996-03-29 株式会社東芝 Semiconductor memory device
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (en) 1991-09-26 1994-07-25 현대전자산업 주식회사 Dram cell having a vertical transistor and fabricating method thereof
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (en) 1992-07-03 1998-05-06 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (en) 1992-09-25 1999-05-10 ローム株式会社 Semiconductor storage device and method of manufacturing the same
JP3311070B2 (en) 1993-03-15 2002-08-05 株式会社東芝 Semiconductor device
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
WO1994027325A1 (en) 1993-05-07 1994-11-24 Vlsi Technology, Inc. Integrated circuit structure and method
JPH0778977A (en) * 1993-09-09 1995-03-20 Toshiba Corp Semiconductor device
KR0141218B1 (en) 1993-11-24 1998-07-15 윤종용 Fabrication method of semkonductor device
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR100362751B1 (en) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 Contact hole and method for forming the semiconductor device
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
JP2658870B2 (en) 1994-04-22 1997-09-30 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (en) 1994-09-13 1998-10-01 문정환 Thin film transistor
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
DE19519160C1 (en) 1995-05-24 1996-09-12 Siemens Ag DRAM cell arrangement having packing density required for specified memory
DE19524092C2 (en) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Method and device for compressing and displaying digital data, in particular the heart rate of cardiotocographs
JPH0982918A (en) 1995-09-19 1997-03-28 Toshiba Corp Semiconductor storage device and its manufacture
KR0179175B1 (en) 1995-10-05 1999-03-20 문정환 Method of manufacturing semiconductor memory device
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
JP2751909B2 (en) * 1996-02-26 1998-05-18 日本電気株式会社 Method for manufacturing semiconductor device
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
JP3219677B2 (en) 1996-03-28 2001-10-15 三洋電機株式会社 Rod sorting system
BR9709333A (en) 1996-05-21 1999-08-10 Siemens Aktienesellschaft Multilayer condenser with high specific capacity and process and production for the same
JPH1022476A (en) 1996-07-02 1998-01-23 Sony Corp Capacitive element
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (en) 1996-11-06 2008-03-05 富士通株式会社 Semiconductor device and manufacturing method thereof
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (en) 1996-12-17 1998-10-07 윌리엄비.켐플러 Integrated circuit dielectric
JP4053647B2 (en) 1997-02-27 2008-02-27 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (en) * 1997-08-28 2004-03-02 株式会社ルネサステクノロジ Method for manufacturing semiconductor device
DE19801095B4 (en) 1998-01-14 2007-12-13 Infineon Technologies Ag Power MOSFET
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (en) 1998-08-18 2001-11-15 김덕중 Semiconductor device having a trench type gate and method for fabricating therefor
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (en) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 Manufacturing method of semiconductor integrated circuit device
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (en) 1998-09-30 2000-02-10 Siemens Ag Vertical MOS transistor in semiconductor substrate
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208762A (en) * 1999-01-13 2000-07-28 Sony Corp Insulation gate field effect transistor and its manufacture
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (en) 1999-03-08 2007-09-12 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
JP2001024161A (en) 1999-04-30 2001-01-26 Sony Corp Semiconductor memory cell
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
WO2000070622A1 (en) 1999-05-14 2000-11-23 Hitachi, Ltd. Storage circuit
DE19928781C1 (en) 1999-06-23 2000-07-06 Siemens Ag DRAM cell array has deep word line trenches for increasing transistor channel length and has no fixed potential word lines separating adjacent memory cells
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6214670B1 (en) * 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (en) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM cell arrangement comprises a substrate with a recess containing a storage node of a capacitor
JP3450758B2 (en) 1999-09-29 2003-09-29 株式会社東芝 Method for manufacturing field effect transistor
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (en) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 Manufacturing method of semiconductor integrated circuit device
JP4363736B2 (en) 2000-03-01 2009-11-11 新電元工業株式会社 Transistor and manufacturing method thereof
US6306775B1 (en) * 2000-06-21 2001-10-23 Micron Technology, Inc. Methods of selectively etching polysilicon relative to at least one of deposited oxide, thermally grown oxide and nitride, and methods of selectively etching polysilicon relative to BPSG
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (en) 2000-07-27 2002-11-28 Infineon Technologies Ag Process for producing a porous insulating layer with a low dielectric constant on a semiconductor substrate
DE10038728A1 (en) 2000-07-31 2002-02-21 Infineon Technologies Ag Semiconductor memory cell arrangement and method for the production thereof
KR100892788B1 (en) 2000-08-29 2009-04-10 보이스 스테이트 유니버시티 Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
JP2002151654A (en) 2000-11-10 2002-05-24 Sharp Corp Dielectric capacitor element and manufacturing method therefor
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
GB0029315D0 (en) 2000-12-01 2001-01-17 Koninkl Philips Electronics Nv Method of increasing the conductivity of a transparent conductive layer
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
JP4635333B2 (en) 2000-12-14 2011-02-23 ソニー株式会社 Manufacturing method of semiconductor device
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (en) 2001-01-05 2002-11-13 삼성전자 주식회사 Method for forming a lower electrode of cylinder type capacitor preventing a twin bit failure
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (en) 2001-02-06 2007-07-11 松下電器産業株式会社 Method for forming insulating film and method for manufacturing semiconductor device
KR100388682B1 (en) 2001-03-03 2003-06-25 삼성전자주식회사 Storage electric terminal layer and method for forming thereof
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (en) 2001-03-12 2002-05-16 Infineon Technologies Ag Production of a storage cell used in DRAMs comprises using a multiple step process in which a word line contact is eventually formed to electrically connect the gate to the word line
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (en) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
JP3671854B2 (en) 2001-04-05 2005-07-13 松下電器産業株式会社 Surface treatment method for silicon substrate
JP2002314072A (en) 2001-04-19 2002-10-25 Nec Corp Semiconductor device with high dielectric thin film and manufacturing method therefor, and film-forming method for dielectric film
TW544911B (en) 2001-04-26 2003-08-01 Toshiba Corp Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (en) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM cell arrangement used for a semiconductor storage device comprises a matrix arrangement of storage cells stacked over each other as layers, and a capacitor connected to the MOS transistor
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (en) 2001-06-29 2009-04-02 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2003023150A (en) 2001-07-10 2003-01-24 Sony Corp Trench gate type semiconductor device and manufacturing method therefor
KR100398955B1 (en) * 2001-08-02 2003-09-19 삼성전자주식회사 Eeprom memory cell and method of forming the same
DE10139827A1 (en) 2001-08-14 2003-03-13 Infineon Technologies Ag Memory cell with trench capacitor and vertical selection transistor and an annular contact area formed between them
KR100431656B1 (en) 2001-09-11 2004-05-17 삼성전자주식회사 Method of manufacturing semiconductor device
JP2003092367A (en) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd Method of manufacturing semiconductor device
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
KR100400323B1 (en) * 2001-11-01 2003-10-01 주식회사 하이닉스반도체 CMOS of semiconductor device and method for manufacturing the same
KR100436287B1 (en) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 Transistor of a semiconductor device and method of manufacturing thereof
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (en) 2002-02-26 2006-09-14 Infineon Technologies Ag Semiconductor memory with vertical selection transistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
DE10226583B4 (en) 2002-06-14 2010-07-08 Qimonda Ag DRAM memory cell for fast read / write access and memory cell array
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
JP3934507B2 (en) 2002-08-08 2007-06-20 株式会社東芝 Semiconductor memory device and manufacturing method of semiconductor memory device
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US7033891B2 (en) 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
TW588413B (en) * 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
KR100481867B1 (en) 2002-11-11 2005-04-11 삼성전자주식회사 Ferroelectric capacitor and method for fabricating the same
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (en) 2002-12-18 2005-10-12 삼성전자주식회사 High speed and low power consumption semiconductor device and method for fabricating the same
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (en) 2002-12-31 2004-07-07 동부전자 주식회사 Method for forming isolation layer of semiconductor device
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2004247656A (en) 2003-02-17 2004-09-02 Renesas Technology Corp Semiconductor device and its manufacturing method
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (en) 2003-03-17 2004-10-07 Nec Electronics Corp Semiconductor memory device
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100554163B1 (en) * 2003-03-29 2006-02-22 한국전자통신연구원 Bust mode optical receiver which allows for a characteristic of extinction ratio of received optical signal
KR100480645B1 (en) 2003-04-01 2005-03-31 삼성전자주식회사 Method for manufacturing SONOS memory device with twin-ONO by reverse self-aligning process
FR2853319B1 (en) * 2003-04-03 2005-05-06 Rhodia Chimie Sa RETICULABLE COMPOSITION FOR BATTERY ELECTROLYTE
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
JP3913709B2 (en) 2003-05-09 2007-05-09 株式会社東芝 Semiconductor memory device
JP2004335031A (en) 2003-05-09 2004-11-25 Toshiba Corp Semiconductor storage device
KR100568854B1 (en) 2003-06-17 2006-04-10 삼성전자주식회사 Method for forming transistor with recess channel for use in semiconductor memory
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (en) 2003-06-25 2005-10-12 삼성전자주식회사 Method Of Fabricating Metal-Oxide-Semiconductor Field Effect Transistor
KR100511045B1 (en) 2003-07-14 2005-08-30 삼성전자주식회사 Integration method of a semiconductor device having a recessed gate electrode
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
KR100546378B1 (en) 2003-09-09 2006-01-26 삼성전자주식회사 Method of manufacturing transistor having recessed channel
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (en) 2003-09-18 2005-04-07 Fujio Masuoka Memory cell unit, nonvolatile semiconductor memory device having it and driving method of memory cell array
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
KR100587669B1 (en) * 2003-10-29 2006-06-08 삼성전자주식회사 Method for forming resistor for use in semiconductor device
JP2005142203A (en) * 2003-11-04 2005-06-02 Elpida Memory Inc Semiconductor device and its manufacturing method
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (en) 2003-11-17 2005-10-12 삼성전자주식회사 Semiconductor device with source/drain formed on isolation layers and method of fabricating the same
JP2005175090A (en) 2003-12-09 2005-06-30 Toshiba Corp Semiconductor memory device and its manufacturing method
KR100518606B1 (en) * 2003-12-19 2005-10-04 삼성전자주식회사 Method for fabricating a recess channel array transistor using a mask layer having high etch selectivity for silicon substrate
JP4342970B2 (en) 2004-02-02 2009-10-14 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100614240B1 (en) * 2004-06-10 2006-08-18 삼성전자주식회사 Semiconductor devices including a field effect transistor and methods of the same
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP4083160B2 (en) 2004-10-04 2008-04-30 株式会社東芝 Semiconductor memory device and driving method of FBC memory cell
US7608503B2 (en) 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
KR100640616B1 (en) 2004-12-21 2006-11-01 삼성전자주식회사 Field effect transistor structure comprising a buried gate pattern and method of manufacturing a semiconductor device comprising the field effect transistor structure
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (en) 2005-02-24 2006-10-26 Infineon Technologies Ag Process for the preparation of sublithographic structures
JP2006237455A (en) 2005-02-28 2006-09-07 Toshiba Corp Semiconductor device and manufacturing method thereof
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7682401B2 (en) * 2005-04-11 2010-03-23 Boston Scientific Scimed, Inc. Ureteral stent
JP4541220B2 (en) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (en) 2005-06-20 2006-12-28 Toshiba Corp Ferroelectric storage device and method for manufacturing the same
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (en) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
KR100843139B1 (en) 2005-12-15 2008-07-02 삼성전자주식회사 Multi-level dynamic memory device having open bit line structure and driving method thereof
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2008004738A (en) 2006-06-22 2008-01-10 Elpida Memory Inc Semiconductor device and method of manufacturing the same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7495282B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation NAND memory with virtual channel
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
KR101374323B1 (en) 2008-01-07 2014-03-17 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1986003341A1 (en) * 1984-11-27 1986-06-05 American Telephone & Telegraph Company Trench transistor
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US5972754A (en) * 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6476444B1 (en) * 1999-03-18 2002-11-05 Hyundai Electronics Industries Co., Ltd. Semiconductor device and method for fabricating the same
EP1391939A1 (en) * 2001-05-30 2004-02-25 Sony Corporation Method for manufacturing channel gate type field effect transistor
US20030042512A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Vertical transistor and method of making
US20040222458A1 (en) * 2003-05-06 2004-11-11 Mosel Vitelic, Inc. Termination structure for trench DMOS device and method of making the same
WO2005083770A1 (en) * 2004-03-02 2005-09-09 Tae-Pok Rhee Semiconductor device of high breakdown voltage and manufacturing method thereof

Also Published As

Publication number Publication date
CN101375381A (en) 2009-02-25
US20070178641A1 (en) 2007-08-02
KR20080083202A (en) 2008-09-16
EP1979937A2 (en) 2008-10-15
TWI385734B (en) 2013-02-11
KR101010475B1 (en) 2011-01-21
CN102013412B (en) 2014-10-01
US7902028B2 (en) 2011-03-08
TW200737363A (en) 2007-10-01
WO2007111771A2 (en) 2007-10-04
US20110124168A1 (en) 2011-05-26
SG177967A1 (en) 2012-02-28
SG169367A1 (en) 2011-03-30
US7700441B2 (en) 2010-04-20
JP5163959B2 (en) 2013-03-13
JP2009525612A (en) 2009-07-09
CN101375381B (en) 2012-09-12
CN102013412A (en) 2011-04-13
EP1979937B1 (en) 2014-07-30
US20100173456A1 (en) 2010-07-08
US8389363B2 (en) 2013-03-05

Similar Documents

Publication Publication Date Title
WO2007111771A3 (en) Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array
SG139620A1 (en) Ldmos using a combination of enhanced dielectric stress layer and dummy gates
WO2009055173A3 (en) Floating body field-effect transistors, and methods of forming floating body field-effect transistors
WO2006028775A3 (en) Dram transistor with a gate buried in the substrate and method of forming thereof
WO2005086237A3 (en) Ldmos transistor and method of making the same
TW200511583A (en) Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
IL173422A0 (en) Structure and method of making strained semiconductor cmos transistors having lattice-mismatched source and drain regions
TW200625633A (en) High-mobility bulk silicon PFET
TW200802798A (en) Improved SOI substrates and SOI devices, and methods for forming the same
EP1531496A3 (en) Semiconductor devices having transistors and method for manufacturing the same
AU2003285092A1 (en) Chemical-sensitive floating gate field effect transistor
WO2008090475A3 (en) Floating-body dram transistor comprising source/drain regions separated from the gated body region
WO2008001142A3 (en) Transistor array with shared body contact and method of manufacturing
TW200620479A (en) MOSFET device with localized stressor
WO2005098959A3 (en) Dual-gate transistors
TW200705668A (en) Thin film transistor substrate and manufacturing method thereof
WO2007067589A3 (en) Insulated gate devices and method of making same
WO2004012270A3 (en) Field effect transistor and method of manufacturing same
WO2007110507A3 (en) Process for fabricating a field-effect transistor with self-aligned gates
WO2005112104A3 (en) Cmos transistor using high stress liner layer
SG152165A1 (en) Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon and devices formed thereby
TW200723410A (en) Method for manufacturing semiconductor device capable of improving breakdown voltage characteristics
DE602005007926D1 (en) Organic field effect transistor and its manufacture
SG147439A1 (en) Semiconductor device with doped transistor
TW200503175A (en) Transistor device and forming method thereof and CMOS device manufacturing method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07749192

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2007749192

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2008553261

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200780003728.8

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020087019137

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE