WO2007142329A1 - 成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体 - Google Patents

成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体 Download PDF

Info

Publication number
WO2007142329A1
WO2007142329A1 PCT/JP2007/061637 JP2007061637W WO2007142329A1 WO 2007142329 A1 WO2007142329 A1 WO 2007142329A1 JP 2007061637 W JP2007061637 W JP 2007061637W WO 2007142329 A1 WO2007142329 A1 WO 2007142329A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
film forming
gas
source gas
transition metal
Prior art date
Application number
PCT/JP2007/061637
Other languages
English (en)
French (fr)
Inventor
Kenji Matsumoto
Junichi Koike
Koji Neishi
Original Assignee
Tokyo Electron Limited
National University Corporation Tohoku University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, National University Corporation Tohoku University filed Critical Tokyo Electron Limited
Priority to US12/303,831 priority Critical patent/US20100233876A1/en
Publication of WO2007142329A1 publication Critical patent/WO2007142329A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Definitions

  • Film forming apparatus film forming method, computer program, and storage medium
  • the present invention relates to a film forming apparatus and a film forming method for forming, for example, a copper manganese (CuMn) alloy film or a manganese (Mn) film as a seed film on the surface of an object to be processed such as a semiconductor wafer. .
  • a film forming apparatus and a film forming method for forming, for example, a copper manganese (CuMn) alloy film or a manganese (Mn) film as a seed film on the surface of an object to be processed such as a semiconductor wafer.
  • a semiconductor device is manufactured by repeatedly performing various processes such as a film forming process and a pattern etching process on the semiconductor wafer.
  • the semiconductor device is further highly integrated.
  • line widths and hole diameters are becoming increasingly finer due to the demand for higher miniaturization.
  • copper which has a very small electrical resistance pile and is inexpensive, is required because the electrical resistance needs to be reduced by miniaturizing various dimensions.
  • Patent Document 1 When copper is used as this wiring material or embedding material, it is generally considered that tantalum metal (Ta), tantalum nitride film (TaN), etc. Is used as a barrier layer.
  • a thin layer or seed film made of a copper film is formed on the entire wafer surface including the entire wall surface in the recess in the plasma sputtering apparatus, and then A copper plating process is applied to the entire wafer surface to completely fill the recess. Thereafter, an excess copper thin film on the wafer surface is removed by polishing by CMP (Chemical Mechanical Polishing) or the like.
  • CMP Chemical Mechanical Polishing
  • FIG. 7 is a diagram showing a general loading process of the concave portion of the semiconductor wafer.
  • a recess 2 corresponding to a via hole, a through hole, a groove (trench or Dual Dam ascene structure) is formed.
  • the concave portion 2 has a very small width or inner diameter of, for example, about 120 nm as the design rule becomes finer.
  • the aspect ratio is about 2 to 4, for example. Note that the diffusion prevention film, the etching stop film, and the like are not shown and simplified in shape.
  • a barrier layer 4 made of, for example, a stacked structure of Ta N film and Ta film is formed in advance by a plasma sputtering apparatus, including the inner surface in the recess 2. (See Figure 7 (A)). Then, a seed film 6 made of a thin copper film is formed as a metal film over the entire wafer surface including the surface in the recess 2 by a plasma sputtering apparatus (see FIG. 7B). When the seed film 6 is formed in the plasma sputtering apparatus, high frequency bias power is applied to the semiconductor wafer side to efficiently attract copper metal ions.
  • the recess 2 is filled with a metal film 8 made of, for example, a copper film (see FIG. 7C). Thereafter, the excess metal film 8, seed film 6 and barrier layer 4 on the wafer surface are removed by polishing using the above-described CMP process or the like.
  • MnSixOy (x, y: any integer) film is formed at the boundary between the 22 n film and the CuMn alloy film, there is an advantage that the number of manufacturing processes can be reduced.
  • Mn in the Mn film or CuMn alloy film is preferentially combined with the halogen element incorporated into the Cu film when the Cu film is formed by the CVD method, for example, and this halogen element is incorporated into the Cu film.
  • This also has the advantage that the reliability of the wiring can be improved by improving the film quality of the Cu film wiring.
  • Patent Document 1 Japanese Unexamined Patent Application Publication No. 2004-107747
  • Patent Document 2 JP 2005-277390 A
  • the above-mentioned CuMn alloy can only be formed by a sputtering method.
  • an extremely fine pattern such as a line width and a hole diameter of 32 ⁇ is expected.
  • the sputtering method cannot sufficiently cope with it, and as a result of poor step coverage (step coverage), there is a high possibility that the recesses will not be sufficiently filled.
  • the semiconductor wafer since the seed film 6 formation process and the loading process cannot be performed in-situ, that is, when the semiconductor wafer is transferred to the embedding apparatus after the seed film 6 is formed, the semiconductor wafer is removed from the clean air. As a result, the highly reactive Cu Mn alloy film is oxidized, and as a result, the copper embedded film is hindered or the Mn component in the seed film is oxidized. There was a problem that Mn oxide would increase the contact resistance.
  • the seed film is formed on the bottom of the recess to be thicker than the side wall thereof, so even if a sufficiently thin MnSixOy film is formed on the side wall of the recess by annealing, There was a problem that in this part, a large amount of manganese and its oxide remained higher in resistance than copper, and the contact resistance further increased.
  • the present invention has been devised to pay attention to the above problems and to effectively solve them.
  • An object of the present invention is to form a CuMn alloy film, Mn film, etc. by heat treatment such as CVD, so that even a minute recess can be loaded with high step coverage, and the same processing apparatus. It is an object of the present invention to provide a film forming method, a film forming apparatus, a computer program, and a storage medium that can significantly reduce the apparatus cost by performing continuous processing.
  • the present invention provides a process of transporting an object to be processed into a processing container that can be evacuated, a transition metal-containing source gas containing at least a transition metal in the processing container, and a reducing gas. And heating the object to be processed to form a thin film on the surface of the object by heat treatment. [0013] In this way, a thin film is formed by heat treatment on the surface of the object to be processed by the transition metal-containing source gas containing the transition metal and the reducing gas in the processing container that can be evacuated. Even a fine recess can be loaded with high step coverage, and the apparatus cost can be greatly reduced by performing continuous processing with the same processing apparatus.
  • a copper-containing source gas containing copper, a transition metal-containing source gas containing a transition metal, and a reducing gas are supplied into a processing container, and the target object is heated to supply the surface of the target object. And forming a thin film by heat treatment.
  • a thin film is formed by heat treatment on the surface of the object to be processed by using a copper-containing source gas containing copper, a transition metal-containing source gas containing a transition metal, and a reducing gas in a processing vessel that can be evacuated.
  • a copper-containing source gas containing copper a copper-containing source gas containing copper
  • a transition metal-containing source gas containing a transition metal a reducing gas in a processing vessel that can be evacuated.
  • the present invention is a film forming method characterized in that the heat treatment is a CVD (Chemical Vapor Deposition) method.
  • CVD Chemical Vapor Deposition
  • the present invention is a film forming method characterized in that the heat treatment is an ALD (Atomic Layer Deposition) method in which film formation is performed by alternately and repeatedly supplying the source gas and the reducing gas.
  • ALD Atomic Layer Deposition
  • the present invention is characterized in that in the heat treatment, the two source gases are alternately and repeatedly supplied over an intermittent period, and the reducing gas is supplied during the intermittent period. Is the method.
  • the present invention provides a film forming method characterized in that a copper film is deposited by a CVD method on the object to be processed on which the thin film has been formed, and the concave portion of the object to be processed is loaded.
  • the present invention is the film forming method characterized in that the embedding process is performed in a processing container in which the thin film is formed.
  • the present invention is a film forming method characterized in that after the embedding process is performed, an annealing process is performed on the object to be processed.
  • the present invention is a film forming method characterized in that the annealing process is performed in a processing container in which the thin film is formed.
  • the present invention provides a film forming method characterized in that a copper film is deposited on the object to be processed on which the thin film has been formed by a plating method to carry out the recessing process of the concave part of the object to be processed.
  • the present invention is the film forming method characterized in that after the recess processing of the object to be processed is performed, annealing is performed on the object to be processed.
  • the present invention provides the supply amount of the copper-containing source gas and Z or the transition metal-containing source gas in order to change the composition ratio of copper and transition metal in the thin film in the film thickness direction of the thin film.
  • the film forming method is characterized in that it is changed during the heat treatment.
  • the supply amount of each source gas is controlled so that the composition ratio of the transition metal in the thin film becomes smaller as the lower layer side in the thin film becomes larger toward the upper layer side. This is a characteristic film forming method.
  • the present invention is characterized in that the amount of the transition metal contained in the thin film is within a range of 0.7 to 2.6 nm in terms of the film thickness of the pure metal of the transition metal. This is a film forming method.
  • the surface of the treatment body is the base film of the thin film
  • the base film includes a SiO film, a SiOC film, a SiCOH film, a SiCN film, a porous silica film, and a porous methylsilce.
  • a film forming method comprising: one or more films selected from the group consisting of a skioxane film, a polyarylene film, a SiLK (registered trademark) film, and a fluorocarbon film.
  • the present invention is the film forming method, wherein the transition metal-containing source of the transition metal-containing source gas is made of an organic metal material or a metal complex material.
  • the organometallic material is M (R_Cp) x (x is a natural number), where M represents a transition metal, R represents an alkyl group, and H, CH, CH, CH From the group consisting of CH
  • One of the selected Cp is a cyclopentanegenyl group (C H).
  • the organometallic material is M (R—Cp) x (CO) y (x and y are natural numbers), wherein M represents a transition metal, and R represents an alkyl group. From H, CH, CH, CH, CH, CH
  • Cp is a cyclopentanegenyl group (C H)
  • CO is a cal
  • the present invention is the film forming method, wherein the organometallic material comprises a transition metal, C and H.
  • the transition metal is selected from the group consisting of Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, and Re. It is a film forming method characterized by being one or more metals.
  • Mn (acac) [ Mn (C H O)]
  • Mn (hfac) [ Mn (C HF O)]
  • the film forming method is characterized in that it is one or more materials selected from the above.
  • the present invention is a film forming method characterized in that plasma is used in combination in the heat treatment.
  • the present invention is characterized in that the source gas and the reducing gas are mixed for the first time in the processing vessel. This is a film forming method.
  • the present invention is the film forming method, wherein the reducing gas is H gas.
  • the present invention provides a film forming apparatus for forming a thin film containing a transition metal on a surface of an object to be processed by a heat treatment, a processing container that can be evacuated, and a processing container provided in the processing container.
  • a mounting table structure for mounting a body, a heating means for heating the object to be processed, a gas introducing means for introducing a gas into the processing container, and a raw material gas for supplying a raw material gas to the gas introducing means
  • a film forming apparatus comprising: a supply unit; and a reducing gas supply unit that supplies a reducing gas to the gas introduction unit.
  • the source gas supply means has different source gas branch paths provided for each source gas, and the source gas branch paths merge in the middle.
  • the film forming apparatus is characterized in that the film is formed.
  • the source gas supply means has different source gas branch paths provided for each source gas, and the source gas branch paths merge in the middle.
  • the film forming apparatus is characterized in that it is commonly connected to the gas introducing means without being connected.
  • the present invention is characterized in that a flow path heating means for heating is provided in the branch path of the raw material gas in order to prevent liquefaction of the raw material gas flowing in the raw material gas flow path.
  • the film forming apparatus is characterized in that a flow path heating means for heating is provided in the branch path of the raw material gas in order to prevent liquefaction of the raw material gas flowing in the raw material gas flow path.
  • the present invention is the film forming apparatus, wherein the source gas contains a transition metal-containing source gas containing at least a transition metal.
  • the present invention is the film forming apparatus, wherein the source gas includes a copper-containing source material containing copper and a transition metal-containing source gas containing a transition metal.
  • the present invention is the film forming apparatus characterized in that the reducing gas is H gas.
  • the present invention is a computer program that is used in a film forming apparatus and causes a computer to execute a film forming method.
  • the film forming method transports an object to be processed into a processing container that can be evacuated. And a step of supplying a transition metal-containing source gas containing at least a transition metal in the processing vessel and a reducing gas, heating the target object, and forming a thin film on the surface of the target object by heat treatment. It is a computer program characterized by having it.
  • the present invention relates to a processing container that can be evacuated, a mounting table structure that is provided in the processing container for mounting the processing object, and a heating unit that heats the processing object.
  • a gas introduction means for introducing gas into the processing vessel, a raw material gas supply means for supplying a raw material gas to the gas introduction means, a reducing gas supply means for supplying a reducing gas to the gas introduction means, and an entire apparatus
  • the film forming method includes: Can not be evacuated A process for transporting the object to be processed into the treated container, a transition metal-containing source gas containing at least a transition metal and a reducing gas in the process container, and heating the object to be treated. And a step of forming a thin film on the surface by heat treatment.
  • the present invention is a computer program characterized in that the source gas includes a copper-containing source gas containing copper and a transition metal-containing source gas containing a transition metal.
  • the present invention is used in a film forming apparatus, and in a storage medium storing a computer program for causing a computer to execute a film forming method, the film forming method is contained in a processing container that can be evacuated.
  • a process of transporting the object to be processed, a transition metal-containing source gas containing at least a transition metal in the processing container, and a reducing gas are supplied, the object to be processed is heated, and a thin film is formed on the surface of the object to be processed by heat treatment.
  • a computer-readable storage medium storing a computer program.
  • the present invention provides a processing container that can be evacuated, a mounting table structure that is provided in the processing container for mounting a processing object, and a heating unit that heats the processing object.
  • a gas introduction means for introducing gas into the processing vessel, a raw material gas supply means for supplying a raw material gas to the gas introduction means, a reducing gas supply means for supplying a reducing gas to the gas introduction means, and an entire apparatus
  • a storage medium storing a computer program for causing a computer to execute a film forming method for forming a thin film containing a transition metal on a surface of the object to be processed by heat treatment using a film forming apparatus having a control means for controlling.
  • the film forming method includes a step of transporting an object to be processed into a processing container that can be evacuated, a transition metal-containing source gas containing at least a transition metal in the processing container, and a reducing gas. Together by heating the object to be processed, a computer-readable storage medium storing a computer program, characterized in that example Bei forming a thin film, the heat treatment on the surface of the object.
  • the present invention provides a computer-readable storage medium storing a computer program, wherein the source gas includes a copper-containing source gas containing copper and a transition metal-containing source gas containing a transition metal.
  • a copper-containing source gas containing copper, a transition metal-containing source gas containing a transition metal, and a reducing gas are supplied to the surface of the object to be processed, and a thin film is formed by heat treatment. Form. For this reason, even a minute recess can be embedded with high step coverage, and the apparatus cost can be greatly reduced by performing continuous processing with the same processing apparatus.
  • the supply amount of each source gas is changed during the heat treatment so that the composition ratio of copper and transition metal in the thin film is changed in the film thickness direction of the thin film. It is possible to improve the adhesion.
  • the amount of transition metal contained in the thin film is optimized, it is possible to prevent deterioration of the film quality characteristics of the copper wiring due to an excessive amount of transition metal.
  • FIG. 1 is a block diagram showing an example of a film forming apparatus according to the present invention.
  • FIGS. 2 (A), (B), (C), and (D) are diagrams showing the deposition state of a thin film in each process centering on a recess of a semiconductor wafer.
  • FIGS. 3 (A) and 3 (B) are flowcharts showing each step of the film forming method of the present invention.
  • FIGS. 4 (A), 4 (B), and 4 (C) are timing charts for explaining supply states of respective gases by the ALD method when forming a seed film.
  • Fig.5 shows Mn-containing source gas and Cu-containing source gas with deposition time and heat treatment deposition It is a graph which shows an example of the change of the supply amount.
  • FIG. 6 is a partial configuration diagram showing a modification of the raw material gas supply means of the film forming apparatus.
  • FIGS. 7A, 7B and 7C are diagrams showing a general embedding process of a recess of a semiconductor wafer.
  • FIG. 1 is a block diagram showing an example of a film forming apparatus according to the present invention.
  • a film forming apparatus 12 according to the present invention includes an aluminum processing container 14 having a substantially circular cross section.
  • a container heating means (not shown) such as a heater rod for heating the processing container 14 is provided on the side wall of the processing container 14.
  • a ceiling head 16 is provided on the ceiling of the processing container 14 as a gas introducing means for introducing a necessary processing gas, for example, a film forming gas.
  • the shutter head section 16 has a gas injection surface 18 on its lower surface, and a processing gas is injected toward the processing space S from a number of gas injection holes 20A, 20B provided in the gas injection surface 18.
  • the shower head portion 16 there are formed two hollow gas diffusion chambers 22A and 22B communicating with the gas injection holes 20A and 20B, which are introduced into the gas diffusion chambers 22A and 22B.
  • the processed gas diffused in the plane direction and then blown out from the gas injection holes 20A and 20B communicated with the gas diffusion chambers 22A and 22B.
  • the gas injection holes 20A and 20B are arranged in a matrix shape, and the gases injected from the injection holes 20A and 20B of the respective gases are mixed in the processing space S.
  • Such a gas supply form is referred to as postmix.
  • the entire shower head portion 16 is formed of nickel alloy such as Nikkenore or Hastelloy (registered trademark), anoreminium, or anoreminium alloy.
  • the shower head unit 16 may have one gas diffusion chamber.
  • a sealing member 24 made of, for example, an O-ring is interposed at the joint between the shower head portion 16 and the upper end opening of the processing vessel 14 to maintain the airtightness in the processing vessel 14. It is like that.
  • the side wall of the processing container 14 has a semiconductor as an object to be processed with respect to the inside of the processing container 14.
  • a loading / unloading port 26 for loading / unloading the body wafer W is provided, and the loading / unloading port 26 is provided with a gate valve 28 that can be opened and closed airtightly.
  • An exhaust space 32 is formed in the bottom 30 of the processing container 14. Specifically, a large opening 34 is formed in the central portion of the container bottom 30, and a cylindrical partition wall 36 having a bottomed cylindrical shape extending downward is connected to the opening 34. The exhaust space 32 is formed.
  • a mounting table structure 40 is provided on the bottom 38 of the cylindrical partition wall 36 that partitions the exhaust space 32 so as to stand up from the bottom 38.
  • the mounting table structure 40 includes a cylindrical column 42 standing upright from the bottom 38, and a mounting table 44 that is fixed to the upper end of the column 42 and mounts a semiconductor wafer W as an object to be processed on the upper surface. including.
  • the mounting table 44 is made of, for example, a ceramic material made of quartz glass.
  • a resistance heating heater 46 made of, for example, a carbon wire heater or the like that generates heat when energized is accommodated as a heating means, and the semiconductor wafer W mounted on the upper surface of the mounting table 44 is heated. I can get it.
  • the mounting table 44 is formed with a plurality of, for example, three pin through holes 48 penetrating in the vertical direction (only two are shown in FIG. 1).
  • a push-up pin 50 inserted in a loosely-fitted state so as to be vertically movable is arranged in 48.
  • a push-up ring 52 made of ceramics such as alumina formed in a circular ring shape is disposed at the lower end of the push-up pin 50, and the lower end of each push-up pin 50 is not fixed to the push-up ring 52. It is supported in the state.
  • the arm portion 54 extending from the push-up ring 52 is connected to an in / out rod 56 provided through the container bottom 30, and this in / out rod 56 can be moved up and down by an actuator 58.
  • an actuator 58 As a result, the push-up pins 50 are raised and lowered from the upper ends of the pin insertion holes 48 when the wafer W is transferred.
  • an extendable bellows 60 is interposed in the through-hole at the bottom of the container of the retracting rod 56 of the actuator 58, and the retracting rod 56 can be moved up and down while maintaining the airtightness in the processing container 14.
  • the opening 34 on the inlet side of the exhaust space 32 is set to be smaller than the diameter of the mounting table 44, and the processing gas flowing outside the peripheral edge of the mounting table 44 rotates below the mounting table 44. And flows into the opening 34.
  • On the lower side wall of the cylindrical partition wall 36 this An exhaust port 62 is formed so as to face the exhaust space 32, and a vacuum exhaust system 64 is connected to the exhaust port 62.
  • the evacuation system 64 has an exhaust passage 66 connected to the exhaust port 62.
  • a pressure regulating valve 68, a vacuum pump 70, and the like are sequentially disposed, and the inside of the processing vessel 14 is disposed.
  • the atmosphere in the exhaust space 32 can be evacuated by evacuating while controlling the pressure.
  • a raw material gas supply means 72 for supplying a raw material gas and a reducing gas supply means 74 for supplying a reducing gas are connected.
  • the source gas supply means 72 has a source gas flow path 78 connected to the gas inlet 76 of one gas diffusion chamber 22A of the two gas diffusion chambers.
  • This source gas channel 78 is branched into two here, and one branch channel 80 is provided with a first source material by sequentially providing an on-off valve 82 and a flow rate controller 84 such as a mass flow controller in the middle. Is connected to a first source 86 containing
  • a transition metal-containing raw material containing a transition metal is used as the first raw material.
  • the raw material is gasified to contain the transition metal.
  • the source gas can be supplied along with the inert gas.
  • the first raw material source 86 is heated by the heater 86a in order to increase the vapor pressure of the raw material.
  • the transition metal-containing raw material for example, (MeCp) Mn (precursor) containing manganese can be used.
  • the supply of the raw material gas may use not only the publishing method but also a liquid raw material vaporization method or a solution raw material vaporization method.
  • the liquid raw material vaporization method refers to a method in which a raw material that is liquid at room temperature is vaporized with a vaporizer
  • the solution raw material vaporization method refers to a solution in which a raw material that is solid or liquid at room temperature is dissolved in a solvent to form a liquid.
  • Such a system can be applied to supply of Cu source gas as well as supply of Mn source gas.
  • the other branch path 88 is connected to a second raw material source 94 that accommodates the second raw material by sequentially providing an on-off valve 90 and a flow rate controller 92 such as a mass flow controller on the way. ing.
  • a copper-containing raw material containing copper is used as the second raw material.
  • the second raw material a copper-containing raw material containing copper is used.
  • an inert gas such as Ar gas whose flow rate is controlled
  • the feed gas can be supplied with the inert gas.
  • the second raw material source 94 is heated by the heater 94a in order to increase the vapor pressure of the raw material.
  • the copper-containing raw material include Cu (hfac) TMVS, Cu (hfac), Cu containing Cu
  • He, Ne, or the like can be used instead of Ar gas as the inert gas for bubbling.
  • each of the branch paths 80 and 88, the on-off valves 82 and 90 interposed therebetween, the flow rate controllers 84 and 92, and the source gas passage 78, tape is used to prevent the source gas from being liquefied again.
  • a heater 96 is provided so as to heat them.
  • a plurality of raw material gas supply means may be installed according to the raw material to be used.
  • the reducing gas supply means 74 has a reducing gas passage 100 connected to the gas inlet 98 of the other gas diffusion chamber 22B.
  • This reducing gas flow path 100 is connected to a reducing gas source 106 for containing reducing gas through an on-off valve 102 and a flow rate controller 104 such as a mass flow controller in the middle.
  • H gas is used as the reducing gas.
  • the source gas is connected to the gas diffusion chamber 22A located above the shower head section 16, and the reducing gas is connected to the gas diffusion chamber 22B located below.
  • the shower head section 16 faces and is close to the mounting table 44, and therefore the temperature of the gas injection surface 18 tends to rise.For this reason, when the raw material gas is introduced into the lower gas diffusion chamber 22B, the gas flows. This is because there is a risk of disassembly.
  • an inert gas supply means for purging is connected to the shower head section 16 so as to supply purge gas as required.
  • purge gas inert gas such as N gas, Ar gas, He gas, Ne gas can be used.
  • control means 108 made of, for example, a microcomputer, and controls the start and stop of the supply of each gas, the control of the supply amount, The pressure in the processing container 14 is controlled, and the temperature of the wafer W is controlled.
  • the control means 108 is a computer program for performing the control described above.
  • Storage medium 110 for storing.
  • a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
  • an unprocessed semiconductor wafer W is carried into the processing container 14 through the gate vano lev 28 and the carry-in / out port 26 which are opened by being held by a transfer arm (not shown).
  • the wafer W is delivered to the raised push-up pin 50 and then placed on the upper surface of the placing table 44 by lowering the push-up pin 50.
  • the raw material gas supply means 72 and the reducing gas supply means 74 are operated to supply predetermined respective gases such as a film forming gas as a processing gas to the shower head unit 16 while controlling the flow rate. Gas is blown out from the gas injection holes 20A and 20B and injected, and introduced into the processing space S. There are various ways of supplying each gas, as will be described later.
  • the vacuum pump 70 provided in the vacuum exhaust system 64 the atmosphere in the processing vessel 14 and the exhaust space 32 is evacuated, and the valve opening of the pressure control valve 68 is adjusted. Maintain the atmosphere of the processing space S at the specified process pressure.
  • the temperature of the wafer W is heated by a resistance heater 46 provided in the mounting table 44 and maintained at a predetermined process temperature. As a result, a desired thin film is formed on the surface of the semiconductor wafer W by a heat treatment such as a thermal CVD method.
  • the source gas passage 78 and both branch passages 80 and 88 are heated by the passage heating means 96 to prevent the source gas flowing therethrough from being liquefied. Power
  • the heating temperature at this time depends on the raw material gas used.
  • Cu (Mac) TMVS and (MeCp) Mn are used as the raw material gas, both gases are not liquefied and are heated.
  • the shower head 16 and the processing container 14 themselves are heated to about 60 to 80 ° C.
  • FIG. 2 is a view showing the deposition state of a thin film in each step centering on the concave portion of the semiconductor wafer
  • FIG. 3 is a flowchart showing each step of the film forming method of the present invention
  • FIG. 3 (A) is the first implementation. An example is shown
  • FIG. 3B shows a second embodiment.
  • Figure 4 shows the ALD method used to form the seed film. It is a timing chart explaining the supply state of each gas by.
  • One of the objects of the method of the present invention is to perform each film forming process and annealing process continuously in one film forming apparatus (in situ). For example, when the wafer W is carried into the film forming apparatus 12, as shown in FIG. 2A, trenches or holes are formed on the surface of the insulating layer 1 formed on the wafer W, such as an interlayer insulating film. Such a recess 2 is formed, and a lower wiring layer 3 made of copper or the like is exposed at the bottom of the recess 2.
  • the insulating layer 1 serving as a base film is made of an oxide containing silicon, for example, SiO.
  • a seed film 6 is first formed on the surface of the semiconductor wafer W in such a state as shown in FIG.
  • the seed film 6 may be a CuMn alloy film (S1 in FIG. 3 (A)), or an Mn film (SI_1 in FIG. 3 (B)).
  • the seed film 6 can be formed by a CVD method or an ALD method.
  • the ALD method is a film forming method in which different film forming gases are alternately supplied to repeatedly form an atomic level or molecular level thin film layer by layer.
  • a Cu film 8 is formed as a metal film in the loading process to fill the recess 2 (S2 in FIG. 3 (A) and FIG. 3 (B) ) S2).
  • This embedding process may be performed by a CVD method, an ALD method, or a PVD method (sputter deposition) or a plating method as in the conventional method.
  • the wafer W is subjected to an annealing process by exposing it to a high temperature, and as shown in FIG. Self-aligned reaction at the boundary with the insulating layer 1 made of SiO film
  • the barrier layer 112 made of the MnSixOy (x, y: any integer) film is surely formed (S3 in FIG. 3A and S3 in FIG. 3B).
  • This annealing process may not be performed if the barrier layer 112 has already been formed in the previous process involving a high temperature process. However, in order to sufficiently form the barrier film 112, this annealing process is not necessary. Is preferably performed.
  • the first film formation method is to form a CuMn alloy film by the CVD method by flowing all of the Cu-containing source gas, the Mn-containing source gas, and the reducing gas H gas simultaneously.
  • the second film-forming method employs the ALD method to supply the Cu-containing source gas and the Mn-containing source gas in synchronism with each other. Alternately between
  • the intermittent period T1 between the two gases and H gas is the purge period.
  • the residual gas in the processing container 14 may be removed only by evacuation, N gas etc.
  • ALD method for example, there is a force cycle from the supply of one Mn-containing source gas to the supply of the next Mn-containing source gas, and this is a very thin layer, for example, 0.4 to 0.
  • a CuMn alloy film of about 6 nm is formed.
  • the required thickness of the seed film 6 is, for example, about 2 nm in terms of the film thickness of the Mn pure metal in the CuMn film, and the film forming process is performed, for example, about 10 to 100 cycles.
  • the controllability of the film thickness can be increased, and a thinner film can be formed with better controllability than the CVD method.
  • the process conditions at this time are a process temperature of about 70 to 450 ° C and a process pressure force of about SlPa to 13kPa.
  • the flow rate of the Mn-containing source gas is about 0.1 to:! Osccm, and the flow rate of the Cu-containing source gas is about 1 to 100 sccm.
  • the amount of Cu is about 10 times higher than that of Mn.
  • the flow rate of H gas is about 5 to 500 sccm.
  • the flow rate ratio of the Mn-containing source gas to the gas may be increased so that the resulting alloy film components become Mn-rich.
  • the supply period tl of the Mn-containing source gas is about 10 to 15 seconds
  • the supply period of the Cu-containing source gas t2 is about 10 sec
  • the supply period of H gas t3 is about 10 sec
  • the intermittent period T1 is 20 to 20 sec.
  • Cu has good adhesion to insulating films such as SiO.
  • the supply period tl of the Mn-containing source gas with respect to the supply period t2 of the Cu-containing source gas may be increased at the initial stage of film formation, for example, 15 sec (indicated by the dotted line 121 in FIG. 4 (A)).
  • the process recipe is such that the supply ratio of the Mn-containing source gas and the Cu-containing source gas changes sequentially according to the transition of the deposition time or according to the deposited film thickness. Can be assembled.
  • the adhesion between the insulating layer 1 and the seed film 6 and between the seed film 6 and the Cu film 8 can be increased, and film peeling during film formation can be prevented.
  • the seed is in an alloy state in which a very thin Mn film with a film thickness of about 0.2 to 0.3 nm and a very thin layer with a film thickness of about 0.2 to 0.3 nm are stacked alternately. It becomes membrane 6.
  • the Mn-containing material is supplied prior to the supply of the Cu-containing source gas in consideration of the adhesion and barrier properties between the seed film 6 and the insulating layer 1. It is desirable to arrange steps so that the source gas is supplied. Since both films are very thin, Mn and Cu diffuse into each other and become alloyed.
  • Such film formation by the ALD method can sufficiently improve the step coverage since the film adheres sufficiently to the inner wall of the fine recess as compared with the film formation by the CVD method.
  • the ALD method is more effective as the size of the recess becomes finer.
  • Fig. 4 (A) and Fig. 4 (B) show the Cu-containing source gas and H gas.
  • the flow may be repeated alternately.
  • metal film 8 made of Cu film is formed by simple thermal decomposition reaction without flowing H gas.
  • the process conditions at this time are a process temperature of about 70 to 450 ° C and a process pressure of about 1 Pa to 13 kPa.
  • the flow rate of Cu-containing source gas is about 1 to lOOsccm, and the flow rate of H gas is about 5 to 500 sccm.
  • a conventional PVD method sputter deposition
  • a plating method is used to form and fill the metal film 8 made of the Cu film. Good.
  • a thin film can be easily deposited on the inner wall of a fine recess as compared with the plating method. Therefore, even if the recess is further miniaturized, a void or the like is generated inside. It is possible to fill the recess without any problem.
  • the wafer W after the above-described embedding process is brought to a predetermined process temperature, for example, about 100 to 450 ° C. By heating, the boundary between the seed film 6 and the insulating layer 1 made of the SiO film as the base film
  • the barrier layer 112 made of the MnSixOy film is surely formed in a self-aligning manner.
  • oxygen may be supplied from the enzyme supply means 76a into the processing container to control the oxygen partial pressure.
  • This annealing treatment is intended to surely form the barrier layer 112. Therefore, the seed film forming process and the Cu film forming process, which are the previous processes, are performed at a sufficiently high temperature, for example, 150 ° C or higher. If the process temperature is high, the barrier layer 112 has already been formed with a sufficient thickness, so that the annealing process can be dispensed with. Of course, when the plating process is performed at S2 in FIG. 3 (A), the annealing process is performed.
  • the seed film formation process, the Cu film formation process by CVD or ALD, and the annealing process can all be performed continuously in the same processing apparatus 12.
  • the Cu-containing source gas containing copper the Mn-containing source gas containing manganese as a transition metal, and the H gas as a reducing gas.
  • Fig. 5 is a graph showing an example of changes in the supply amounts of Mn-containing source gas and Cu-containing source gas as the film formation time (heat treatment) changes. Note that the graph only shows the trend of changes in supply volume, not the absolute value of supply volume.
  • the copper-containing source gas and / or the transition metal-containing source gas is used to change the composition ratio of copper Cu in the thin film and a transition metal such as Mn in the film thickness direction of the thin film.
  • the supply amount is changed during the heat treatment. Specifically, the supply amount of each raw material gas is controlled so that the composition ratio of the transition metal in the thin film of the CuMn film, which is a thin film, becomes smaller as the lower layer side in the thin film increases toward the upper layer side.
  • the Mn-containing source gas is flowed at a large flow rate at the initial stage of film formation, and after a while, the flow rate is decreased sequentially, for example, linearly as the film formation time elapses. Set the flow rate to approximately zero.
  • the Cu-containing source gas hardly flows for a while at the initial stage of film formation, and a pure Mn metal film is formed, and the Cu-containing source gas corresponding to the decrease in the Mn-containing source gas is formed.
  • the gas flow rate is increased, for example, linearly as the film formation time elapses.
  • the flow rate of the Cu-containing source gas is maximized while the supply amount of the Mn-containing source gas is maintained at zero, and the film is formed for a while.
  • a pure Cu metal film is formed.
  • the thin film in this case becomes a pure Mn metal film at the initial stage of film formation, then becomes a CuMn alloy and continues in a Mn rich state, reverses to a Cu rich state in the middle, and finally becomes a pure Cu metal film. It has become.
  • Fig. 5 (B) the Mn source gas is gradually reduced from a certain supply amount from the start of film formation, and conversely, the Cu-containing source gas is gradually increased from zero supply amount.
  • the entire thickness direction of the thin film is a CuMn film, and no pure Mn metal film or pure Cu metal film is formed as shown in Fig. 5 (A).
  • Fig. 5 (A) and Fig. 5 (B) the linear increase is shown. Force that is an additive characteristic or a decreasing characteristic Instead of this, the supply amount of each source gas may be adjusted so as to have a curve-like increasing characteristic or a decreasing characteristic.
  • Fig. 5 (A) and Fig. 5 (B) above in the CuMn alloy film portion, the composition ratio of Cu and Mn increases from the bottom to the top of the film thickness and from the Mn rich state to the Cu rich state. It will change continuously to the state of.
  • Fig. 5 (C) shows a case where the Mn-containing source gas is decreased in steps (steps), while the Cu-containing source gas is increased in steps (steps). .
  • the composition ratio of Cu and Mn in the CuMn alloy film changes stepwise. Of course, the number of steps is not particularly limited. In the case shown in FIGS.
  • the lower layer in the film is a pure Mn metal film or Mn-rich CuMn alloy
  • the upper layer is a pure Cu metal film or Cu-rich CuMn alloy. Since it is gold, as described above, it is possible to further improve the adhesion between the base film Si02 and the Cu film 8.
  • the case where a CuMn alloy film is formed as the seed film 6 has been described as an example (S1 in FIG. 3A).
  • the Mn film (see FIG. B1-1 S1-1) may be formed.
  • the Mn-containing source gas and the reducing gas H gas are simultaneously flown and formed by the CVD method, and the above Mn-containing film is formed.
  • source gas and H gas are alternately flowed repeatedly and formed by the ALD method.
  • any of the methods can be used.
  • the process conditions in this case such as the process pressure, process temperature, and flow rate of each gas, are the same as those described with reference to FIGS. 4 (A) and 4 (B).
  • S2 and S3 in FIG. 3 (B) are processes having the same contents as S2 and S3 in FIG. 3 (A).
  • the annealing process of S3 in Fig. 3 (B) can be omitted.
  • the adhesion between these metals can be improved by treating these films in-situ.
  • the upper Cu wiring layer 8 has a lower resistance at the bottom of the recess 2 than the Cu film via the Mn film having a higher resistance than the Cu film. Will be connected.
  • This seed film is much thinner than the conventional sputtering Mn film, so most of the Mn element is Cu wiring layer 3 and Cu distribution by annealing treatment. By diffusing into the line layer 8, it does not exist as an Mn layer, so the contact resistance of this part will not increase.
  • the amount of Mn metal in the thin film CuMn film (including the case of having a pure Mn metal film or pure Cu metal film) or in the Mn film has an optimum value, and the value is the pure metal film of Mn. It is preferable to form the thin film so as to be within a range of 0.7 to 2.6 nm in terms of thickness and to be within the range of the converted value of the Mn metal film. That is, in the annealing process, as described above, Mn is combined into an MnSixOy film, and excessive Mn is diffused to some extent in the Cu film by diffusion, but is discharged to the surface, but the amount of Mn is excessive. If contained in the film, the Mn component that could not be exhausted will remain in the Cu film that contains the recess, and this remaining Mn component will cause an increase in the resistance value of the Cu wiring. Reliability will be reduced.
  • a necessary and sufficient amount of Mn is obtained by setting the Mn content in the thin film within the range of 0.7 to 2.6 nm in terms of the Mn pure metal film thickness as described above. Can be held in the barrier layer that forms the interface between the Cu wiring and the insulating layer.
  • the Mn amount is smaller than 0.7 nm, a barrier layer having good characteristics cannot be formed.
  • the Mn amount is larger than 2.6 nm, as described above, an excess amount is not obtained. The Mn component remains in the Cu wiring and degrades the film quality.
  • FIG. 6 is a partial configuration diagram showing a modified example of the source gas supply means of the film forming apparatus configured as described above.
  • the shower head unit 16 and the raw material gas supply means 72 connected thereto are shown, and the same components as those shown in FIG. 1 are given the same reference numerals.
  • source gas flow paths 120 and 122 extend from the first source source 86 containing Mn and the second source source 94 containing Cu, respectively.
  • the raw material gas flow paths 120 and 122 are connected to the common gas inlet 76 of the shower head unit 16 without being joined in the middle, and both are mixed with each other during the raw material gas transfer. It is designed to be introduced into the shower head 16 without matching.
  • each of the source gas channels 120 122 is provided with a channel heating means 96a 96b made of, for example, a tape heater so that each source gas flowing therethrough is provided. Heated to prevent liquefaction.
  • the power S can be heated and maintained at the optimum temperature corresponding to the flowing raw material gas.
  • the raw material gas flow path 96a is, for example,
  • the raw material gas flow path 96b is set to a range of 55 70 ° C, for example. In this case, the same effects as those described above can be exhibited.
  • the organometallic material is not limited to those described above, and any material composed of a transition metal, C (carbon), and H (hydrogen) may be used.
  • M (R_Cp) x (x is a natural number) can be used as the organometallic material, or M (R_Cp) x (CO) y (xy is a natural number) can be used.
  • M represents a transition metal
  • R represents an aralkyl group, and is one selected from the group consisting of H CH C H C H C H and C
  • P is a cyclopentane genyl group (C H), and CO is a carbonyl group.
  • a metal complex material can be used.
  • the present invention is not limited to this, and it is also possible to use a SiOC film, a SiCOH film, or the like, which is a Low_k (low relative dielectric constant) material used as an interlayer insulating layer.
  • Si ⁇ film including thermal oxide film and plasma TEOS film
  • SiOC film SiCOH film
  • SiCN film porous film
  • a lath silica film a porous methyl cinresesquioxane film
  • a polyarylene film a polyarylene film
  • SiLK registered trademark
  • fluorocarbon film fluorocarbon film
  • Agents such as ethanol, isopropyl alcohol, acetone, hexane, octane, butyl acetate and the like can also be used.
  • Mn As the transition metal is not limited to this, and other transition metals such as Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh
  • Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh One or more metals selected from the group consisting of Tc, Al, Mg, Sn, Ge, Ti, and Re can be used.
  • the film forming apparatus described here is merely an example.
  • a heating lamp such as a halogen lamp may be used as a heating unit instead of a resistance heater, or the processing apparatus may be a single wafer. Not only a formula but also a batch type may be used.
  • the present invention is not limited to film formation by heat treatment.
  • the shower head unit 16 is used as an upper electrode
  • the mounting table 44 is used as a lower electrode
  • high frequency power is applied between both electrodes as necessary to raise a plasma.
  • plasma assistance may be applied during film formation.
  • the force described using a semiconductor wafer as an example of the object to be processed is not limited to this, and the present invention can be applied to a glass substrate, an LCD substrate, a ceramic substrate, and the like.

Abstract

 成膜方法において、まず真空引き可能になされた処理容器内に被処理体が搬送される。処理容器内に少なくとも、遷移金属を含む遷移金属含有原料ガスと、還元ガスとが供給され、被処理体が加熱される。次に被処理体の表面凹部に熱処理により薄膜が形成される。このことにより、被処理体の表面凹部を銅膜により埋め込むことができる。

Description

明 細 書
成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体
技術分野
[0001] 本発明は、半導体ウェハ等の被処理体の表面に、例えばシード膜として銅マンガ ン (CuMn)合金膜やマンガン (Mn)膜を形成するための成膜装置及び成膜方法に 関する。
背景技術
[0002] 一般に、半導体デバイスを製造するには、半導体ウェハに成膜処理やパターンェ ツチング処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、半導 体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径が益々微 細化されている。そして、配線材料や、トレンチ、ホールなどの凹部内への埋め込み 材料としては、各種寸法の微細化により、より電気抵抗を小さくする必要から電気抵 杭が非常に小さくて且つ安価である銅を用レ、る傾向にある(特許文献 1)。そして、こ の配線材料や埋め込み材料として銅を用いる場合には、その下層への銅の拡散バリ ャ性等を考慮して、一般的にはタンタル金属 (Ta)やタンタル窒化膜 (TaN)等がバリ ャ層として用いられる。
[0003] そして、上記凹部内を坦め込むには、まずプラズマスパッタ装置内にて、この凹部 内の壁面全体を含むウェハ表面全面に銅膜よりなる薄レ、シード膜を形成し、次にゥ ェハ表面全体に銅メツキ処理を施すことにより、凹部内を完全に埋め込むようになつ ている。その後、ウェハ表面の余分な銅薄膜を CMP (Chemical Mechanical Poli shing)処理等により研磨処理して取り除くようになつている。
[0004] この点については図 7を参照して説明する。図 7は半導体ウェハの凹部の一般の坦 め込み工程を示す図である。この半導体ウェハ Wに形成された、例えば層間絶縁膜 などの絶縁層 1の表面には、ビアホールやスルーホールや溝(トレンチや Dual Dam ascene構造)等に対応する凹部 2が形成されており、この凹部 2の底部には、例えば 銅よりなる下層の配線層 3が露出状態で形成されている。上記凹部 2は設計ルール の微細化に伴ってその幅、あるいは内径は例えば 120nm程度と非常に小さくなつて おり、アスペクト比は例えば 2〜4程度になっている。なお、拡散防止膜およびエッチ ングストップ膜等については、図示を省略し形状を単純化して記載している。
[0005] この半導体ウェハ Wの表面には上記凹部 2内の内面も含めて略均一に例えば Ta N膜及び Ta膜の積層構造よりなるバリヤ層 4がプラズマスパッタ装置にて予め形成さ れている(図 7 (A)参照)。そして、プラズマスパッタ装置にて上記凹部 2内の表面を 含むウェハ表面全体に亘つて金属膜として薄い銅膜よりなるシード膜 6を形成する( 図 7 (B)参照)。このシード膜 6をプラズマスパッタ装置内で形成する際、半導体ゥェ ハ側に高周波のバイアス電力を印加して、銅の金属イオンの引き込みを効率良く行う ようになつている。更に、上記ウェハ表面に銅メツキ処理を施すことにより上記凹部 2 内を例えば銅膜よりなる金属膜 8で埋め込むようになつている(図 7 (C)参照)。その 後は、上記ウェハ表面の余分な金属膜 8、シード膜 6及びバリヤ層 4を上記した CMP 処理等を用いて研磨処理して取り除くことになる。
[0006] ところで、最近にあっては、上記ノくリャ層の更なる信頼性の向上を目標として種々 の開発がなされており、中でも上記 Ta膜や TaN膜に代えて Mn膜や CuMn合金膜 を用いた自己形成ノくリャ層が注目されている(特許文献 2)。この Mn膜や CuMn合 金膜は、スパッタリングにより成膜されて、更にこの Mn膜や CuMn合金膜自体がシ ード膜となるので、この上方に Cuメツキ層を直接形成できる。また成膜後にァニール を施すことで自己整合的に下層の絶縁膜である SiO層と反応して、この SiO 層と M
2 2 n膜や CuMn合金膜との境界部分に MnSixOy (x、y:任意の整数)膜というバリヤ膜 が形成されるため、製造工程数も削減できる、という利点を有する。
更には、この Mn膜や CuMn合金膜中の Mnは、例えば Cu膜を CVD法により成膜 した際に Cu膜中に取り込まれたハロゲン元素と優先的に結合してこのハロゲン元素 を Cu膜中からトラップし、 Cu膜配線の膜質を改良して配線の信頼性を向上させるこ とができる、という利点も有する。
[0007] 特許文献 1 :特開 2004— 107747号公報
特許文献 2 :特開 2005— 277390号公報
[0008] ところで、現状の実用レベルでは上記 CuMn合金はスパッタリング法でしか形成す ることができなレ、が、将来的に予想される極微細パターン、例えば線幅や穴径が 32η m以下のトレンチやホールに対しては、スパッタリング法では十分に対応することがで きず、ステップカバレッジ (段差被覆性)が劣る結果、凹部の埋め込みが不十分にな る恐れが高い。
[0009] また上述したように、シード膜 6の形成工程、メツキ処理工程及びァニール工程では 、それぞれ各工程に対応した異なる装置、例えばスパッタ装置、電解メツキ処理及び ァニール装置を用いなければならず、装置コスト (設備コスト)の増大を余儀なくされ る、という問題があった。
更には、上記シード膜 6の形成工程と坦め込み工程は in_situで行うことができな いため、すなわちシード膜 6の形成後に、半導体ウェハを埋め込み装置へ搬送する 際に、半導体ウェハは清浄空気よりなる大気中を搬送されるので、反応性の高い Cu Mn合金膜が酸化されてしまい、この結果、銅の埋め込み成膜を阻害したり、或いは シード膜中の Mn成分が酸化して形成される Mn酸化物がコンタクト抵抗を高めてし まう、といった問題があった。
[0010] また、スパッタによる成膜では、凹部の底部には、その側壁よりも厚くシード膜が形 成されるので、ァニール処理によって凹部の側壁に十分に薄い MnSixOy膜が生じ ても、底部の部分では銅に比べて抵抗値が高いマンガンやその酸化物が大量に残 存してしまい、コンタクト抵抗が一層高くなつてしまう、といった問題があった。
発明の開示
[0011] 本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたもの である。本発明の目的は、例えば CuMn合金膜や Mn膜等を、 CVD等の熱処理によ つて形成することにより、微細な凹部でも、高いステップカバレッジで坦め込むことが でき、しかも、同一の処理装置で連続的な処理を行うようにして装置コストを大幅に低 減化することができる成膜方法、成膜装置、コンピュータプログラムおよび記憶媒体 を提供することにある。
[0012] 本発明は、真空引き可能になされた処理容器内に被処理体を搬送する工程と、処 理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供給 するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成する 工程と、を備えたことを特徴とする成膜方法である。 [0013] このように、真空引き可能になされた処理容器内で、遷移金属を含む遷移金属含 有原料ガスと還元ガスとにより被処理体の表面に、熱処理により薄膜を形成するよう にしたので、微細な凹部でも、高いステップカバレッジで坦め込むことができ、しかも 、同一の処理装置で連続的な処理を行うようにして装置コストを大幅に低減化するこ とができる。
本発明は、処理容器内に、銅を含む銅含有原料ガスと、遷移金属を含む遷移金属 含有原料ガスと、還元ガスとを供給するとともに被処理体を加熱して、被処理体の表 面に熱処理により薄膜を形成することを特徴とする成膜方法である。
このように、真空引き可能になされた処理容器内で、銅を含む銅含有原料ガスと遷 移金属を含む遷移金属含有原料ガスと還元ガスとにより被処理体の表面に、熱処理 により薄膜を形成するようにしたので、微細な凹部でも、高いステップカバレッジで埋 め込むことができ、し力も、同一の処理装置で連続的な処理を行うようにして装置コス トを大幅に低減化することができる。
[0014] 本発明は、前記熱処理は、 CVD (Chemical Vapor Deposition)法であることを 特徴とする成膜方法である。
本発明は、前記熱処理は、前記原料ガスと前記還元ガスとを交互に繰り返し供給し て成膜を行う ALD (Atomic Layer Deposition)法であることを特徴とする成膜方 法である。
本発明は、前記熱処理は、前記 2つの原料ガスを間欠期間を挟んで交互に繰り返 し供給すると共に、前記間欠期間の時に前記還元ガスを供給するようにしたことを特 徴とする成膜方法である。
本発明は、前記薄膜が形成された被処理体上に、 CVD法により銅膜を堆積して前 記被処理体の凹部の坦め込み処理を行うようにしたことを特徴とする成膜方法である 本発明は、前記埋め込み処理は、前記薄膜を形成した処理容器内で行うことを特 徴とする成膜方法である。
[0015] これによれば、同一の装置内で、すなわち in— situで連続処理を行うことができる ので、不要な金属酸化膜が形成されることを抑制でき、この結果、坦め込み性を改善 できると共に、コンタクト抵抗が大きくなることを防止することができる。
[0016] 本発明は、前記埋め込み処理を行った後、前記被処理体に対してァニール処理が 施されることを特徴とする成膜方法である。
本発明は、前記ァニール処理は、前記薄膜を形成した処理容器内で行うことを特 徴とする成膜方法である。
本発明は、前記薄膜が形成された被処理体上に、メツキ法により銅膜を堆積して前 記被処理体の凹部の坦め込み処理を行うようにしたことを特徴とする成膜方法である 本発明は、前記被処理体の凹部の埋め込み処理を行った後、前記被処理体に対 してァニール処理が施されることを特徴とする成膜方法である。
[0017] 本発明は、前記薄膜中の銅と遷移金属との組成比を前記薄膜の膜厚方向で変化 させるために前記銅含有原料ガス及び Z又は前記遷移金属含有原料ガスの供給量 を前記熱処理の途中で変化させるようにしたことを特徴とする成膜方法である。
[0018] 本発明は、前記薄膜中の前記遷移金属の組成比が、前記薄膜内の下層側は大き ぐ上層側へ行くに従って小さくなるように前記各原料ガスの供給量が制御されること を特徴とする成膜方法である。
[0019] 本発明は、前記薄膜中に含まれる前記遷移金属の量は、前記遷移金属の純金属 の膜厚に換算して 0· 7〜2· 6nmの範囲内であることを特徴とする成膜方法である。
[0020] 本発明は、前記処理体の表面は前記薄膜の下地膜となっており、このの下地膜は 、 SiO膜と SiOC膜と SiCOH膜と SiCN膜とポーラスシリカ膜とポーラスメチルシルセ
2
スキォキサン膜とポリアリレン膜と SiLK (登録商標)膜とフロロカーボン膜よりなる群か ら選択される 1つ以上の膜よりなることを特徴とする成膜方法である。
[0021] 本発明は、前記遷移金属含有原料ガスの遷移金属含有原料は、有機金属材料、 或いは金属錯体材料よりなることを特徴とする成膜方法である。
[0022] 本発明は、前記有機金属材料は、 M (R_Cp) x (xは自然数)であり、ここで Mは遷 移金属を示し、 Rはアルキル基を示して H、 CH、 C H、 C H、 C Hよりなる群より
3 2 5 3 7 4 9
選択される 1つであり、 Cpはシクロペンタンジェニル基(C H )であることを特徴とす
5 4
る成膜方法である。 [0023] 本発明は、前記有機金属材料は、 M(R— Cp)x(CO)y(x、 yは自然数)であり、こ こで Mは遷移金属を示し、 Rはアルキル基を示して H、 CH、 C H、 C H、 C Hより
3 2 5 3 7 4 9 なる群より選択される 1つであり、 Cpはシクロペンタンジェニル基(C H )、 COはカル
5 4
ボニル基であることを特徴とする成膜方法である。
[0024] 本発明は、前記有機金属材料は、遷移金属と Cと Hとからなることを特徴とする成膜 方法である。
[0025] 本発明は、前記遷移金属は、 Mn、 Nb、 Zr、 Cr、 V、 Y、 Pd、 Ni、 Pt、 Rh、 Tc、 Al、 Mg、 Sn、 Ge、 Ti、 Reよりなる群から選択される 1以上の金属であることを特徴とする 成膜方法である。
[0026] 本発明は、前記遷移金属はマンガン (Mn)よりなり、該マンガンを含む有機金属材 料は、 Cp Mn[ = Mn(C H ) ]、 (MeCp) Mn[ = Mn(CH C H ) ]、 (EtCp) M
2 5 5 2 2 3 5 4 2 2 n[ = Mn(C H C H ) ]、 (i-PrCp) Mn[ = Mn(C H C H ) ]、 MeCpMn(C〇
2 5 5 4 2 2 3 7 5 4 2
) [= (CH C H )Mn(CO) ]、 (t-BuCp) Mn[ = Mn(C H C H ) ]、 CH Mn(
3 3 5 4 3 2 4 9 5 4 2 3
CO) 、Mn(DPM) [ = Mn(C H O ) ]、 Mn(DMPD) (EtCp) [ = Mn(C H C
5 3 11 19 2 3 7 11
H C H )]、 Mn(acac) [ = Mn(C H O ) ], Mn(DPM) [ = Mn(C H O ) ]
2 5 5 4 2 5 7 2 2 2 11 19 2 2
、 Mn(acac) [ = Mn(C H O ) ], Mn(hfac) [ = Mn(C HF O ) ]よりなる群力
3 5 7 2 3 2 5 6 2 3
ら選択される 1以上の材料であることを特徴とするの成膜方法である。
[0027] 本発明は、前記熱処理ではプラズマが併用されることを特徴とする成膜方法である 本発明は、前記原料ガスと還元ガスとは前記処理容器内で初めて混合されることを 特徴とする成膜方法である。
本発明は、前記還元ガスは Hガスであることを特徴とする成膜方法である。
2
[0028] 本発明は、被処理体の表面に、熱処理によって遷移金属を含む薄膜を形成する成 膜装置において、真空引き可能になされた処理容器と、前記処理容器内に設けられ て前記被処理体を載置するための載置台構造と、前記被処理体を加熱する加熱手 段と、前記処理容器内へガスを導入するガス導入手段と、前記ガス導入手段へ原料 ガスを供給する原料ガス供給手段と、前記ガス導入手段へ還元ガスを供給する還元 ガス供給手段と、備えたことを特徴とする成膜装置である。 [0029] 本発明は、前記原料ガスの種類は複数存在し、前記原料ガス供給手段は原料ガス 毎に設けられた異なる原料ガスの分岐路を有し、該原料ガスの分岐路は途中で合流 されてレ、ることを特徴とする成膜装置である。
[0030] 本発明は、前記原料ガスの種類は複数存在し、前記原料ガス供給手段は原料ガス 毎に設けられた異なる原料ガスの分岐路を有し、該原料ガスの分岐路は途中で合流 されることなく前記ガス導入手段にそれぞれ共通に接続されていることを特徴とする 成膜装置である。
[0031] 本発明は、前記原料ガスの分岐路には、該原料ガス流路に流れる前記原料ガスの 液化を防止するために加熱するための流路加熱手段が設けられていることを特徴と する成膜装置である。
[0032] 本発明は、前記原料ガスは、少なくとも遷移金属を含む遷移金属含有原料ガスを 含むことを特徴とする成膜装置である。
本発明は、前記原料ガスは銅を含む銅含有原料と、遷移金属を含む遷移金属含 有原料ガスとを含むことを特徴とする成膜装置である。
本発明は、前記還元ガスは Hガスであることを特徴とするの成膜装置である。
2
[0033] 本発明は、成膜装置に用いられ、コンピュータに成膜方法を実行させるためのコン ピュータプログラムにおいて、成膜方法は、真空引き可能になされた処理容器内に 被処理体を搬送する工程と、処理容器内に少なくとも遷移金属を含む遷移金属含有 原料ガスと、還元ガスとを供給するとともに被処理体を加熱して、被処理体の表面に 熱処理により薄膜を形成する工程と、を備えたことを特徴とするコンピュータプロダラ ムである。
[0034] 本発明は、真空引き可能になされた処理容器と、前記処理容器内に設けられて被 処理体を載置するための載置台構造と、前記被処理体を加熱する加熱手段と、前記 処理容器内へガスを導入するガス導入手段と、前記ガス導入手段へ原料ガスを供給 する原料ガス供給手段と、前記ガス導入手段へ還元ガスを供給する還元ガス供給手 段と、装置全体を制御する制御手段とを有する成膜装置を用いて前記被処理体の 表面に熱処理によって遷移金属を含む薄膜を形成する成膜方法をコンピュータに実 行させるためのコンピュータプログラムにおいて、成膜方法は、真空引き可能になさ れた処理容器内に被処理体を搬送する工程と、処理容器内に少なくとも遷移金属を 含む遷移金属含有原料ガスと、還元ガスとを供給するとともに被処理体を加熱して、 被処理体の表面に熱処理により薄膜を形成する工程と、を備えたことを特徴とするコ ンピュータプログラムである。
本発明は、前記原料ガスは、銅を含む銅含有原料ガスと遷移金属を含む遷移金属 含有原料ガスとを含むことを特徴とするコンピュータプログラムである。
[0035] 本発明は、成膜装置に用いられ、コンピュータに成膜方法を実行させるためのコン ピュータプログラムを格納した記憶媒体において、成膜方法は、真空引き可能になさ れた処理容器内に被処理体を搬送する工程と、処理容器内に少なくとも遷移金属を 含む遷移金属含有原料ガスと、還元ガスとを供給するとともに被処理体を加熱して、 被処理体の表面に熱処理により薄膜を形成する工程と、を備えたことを特徴とするコ ンピュータプログラムを格納したコンピュータ読み取り可能な記憶媒体である。
[0036] 本発明は、真空引き可能になされた処理容器と、前記処理容器内に設けられて被 処理体を載置するための載置台構造と、前記被処理体を加熱する加熱手段と、前記 処理容器内へガスを導入するガス導入手段と、前記ガス導入手段へ原料ガスを供給 する原料ガス供給手段と、前記ガス導入手段へ還元ガスを供給する還元ガス供給手 段と、装置全体を制御する制御手段とを有する成膜装置を用いて前記被処理体の 表面に熱処理によって遷移金属を含む薄膜を形成する成膜方法をコンピュータに実 行させるためのコンピュータプログラムを格納した記憶媒体におレ、て、成膜方法は、 真空引き可能になされた処理容器内に被処理体を搬送する工程と、処理容器内に 少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供給するとともに 被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成する工程と、を備 えたことを特徴とするコンピュータプログラムを格納したコンピュータ読み取り可能な 記憶媒体である。
本発明は、前記原料ガスは、銅を含む銅含有原料ガスと遷移金属を含む遷移金属 含有原料ガスとを含むことを特徴とするコンピュータプログラムを格納したコンビユー タ読み取り可能な記憶媒体である。
[0037] 以上説明したように、本発明に係る成膜方法及び成膜装置によれば、次のように優 れた作用効果を発揮することができる。
真空引き可能になされた処理容器内で、銅を含む銅含有原料ガスと遷移金属を含 む遷移金属含有原料ガスと還元ガスとを供給することにより被処理体の表面に、熱処 理により薄膜を形成する。このため、微細な凹部でも、高いステップカバレッジで埋め 込むことができ、しかも、同一の処理装置で連続的な処理を行うようにして装置コスト を大幅に低減化することができる。
[0038] また、真空引き可能になされた処理容器内で、遷移金属を含む遷移金属含有原料 ガスと還元ガスとにより被処理体の表面に、熱処理により薄膜を形成するようにしたの で、微細な凹部でも、高いステップカバレッジで坦め込むことができ、し力 、同一の 処理装置で連続的な処理を行うようにして装置コストを大幅に低減化することができ る。
さらに本発明によれば、同一の装置内で、すなわち in_situで連続処理を行うこと ができるので、不要な金属酸化膜が形成されることを抑制でき、この結果、坦め込み 性を改善できると共に、コンタクト抵抗が大きくなることを防止することができ、ひいて は半導体装置の信頼性向上、歩留まりの改善を図ることができる。
本発明によれば、薄膜中の銅と遷移金属との組成比を薄膜の膜厚方向で変化させ るように各原料ガスの供給量を熱処理の途中で変化させるようにしたので、下地膜と の密着性を向上させることができる。
更に本発明によれば、薄膜中に含まれる遷移金属の量を最適化しているので、過 剰な量の遷移金属による銅配線の膜質特性の劣化を防止することができる。
図面の簡単な説明
[0039] [図 1]図 1は本発明に係る成膜装置の一例を示す構成図である。
[図 2]図 2 (A) (B) (C) (D)は半導体ウェハの凹部を中心とした各工程における薄膜 の堆積状況を示す図である。
[図 3]図 3 (A) (B)は本発明の成膜方法の各工程を示すフローチャートである。
[図 4]図 4 (A) (B) (C)はシード膜を形成する時の ALD法による各ガスの供給状態を 説明するタイミングチャートである。
[図 5]図 5は成膜時間及び熱処理の堆積に伴う Mn含有原料ガスと Cu含有原料ガス の供給量の変化の一例を示すグラフである。
[図 6]図 6は成膜装置の原料ガス供給手段の変形例を示す部分構成図である。
[図 7]図 7 (A) (B) (C)は半導体ウェハの凹部の一般の埋め込み工程を示す図であ る。
発明を実施するための最良の形態
[0040] 以下に、本発明に係る成膜方法と成膜装置の一実施例を添付図面に基づいて詳 述する。
図 1は本発明に係る成膜装置の一例を示す構成図である。図示するように本発明 に係る成膜装置 12は、例えば断面の内部が略円形状になされたアルミニウム製の処 理容器 14を有している。この処理容器 14の側壁には、これを加熱するためのヒータ 棒等の図示しない容器加熱手段が設けられている。この処理容器 14内の天井部に は必要な処理ガス、例えば成膜ガス等を導入するためにガス導入手段であるシャヮ 一ヘッド部 16が設けられてレ、る。シャヮ一ヘッド部 16はその下面にガス噴射面 18を 有しており、ガス噴射面 18に設けた多数のガス噴射孔 20A、 20Bから処理空間 Sに 向けて処理ガスが噴射される。
[0041] このシャワーヘッド部 16内には、ガス噴射孔 20A, 20Bに連通する中空状の 2つに 区画されたガス拡散室 22A、 22Bが形成されており、ガス拡散室 22A, 22Bに導入 された処理ガスは平面方向へ拡散した後、各ガス拡散室 22A、 22Bに連通された各 ガス噴射孔 20A、 20Bから吹き出される。この場合、ガス噴射孔 20A、 20Bはマトリク ス状に配置されており、各ガスの噴射孔 20A、 20Bより噴射された各ガスは処理空間 Sで混合される。
[0042] このようなガス供給形態をポストミックスと称す。このシャワーヘッド部 16の全体は、 例えばニッケノレやハステロィ(登録商標)等のニッケル合金、ァノレミニゥム、或いはァ ノレミニゥム合金により形成されている。尚、後述する ALD法で成膜を行う場合には、 シャワーヘッド部 16は 1つのガス拡散室を有していてもよい。そして、このシャワーへ ッド部 16と処理容器 14の上端開口部との接合部には、例えば Oリング等よりなるシー ル部材 24が介在されており、処理容器 14内の気密性を維持するようになっている。
[0043] また、処理容器 14の側壁には、この処理容器 14内に対して被処理体としての半導 体ウェハ Wを搬入搬出するための搬出入口 26が設けられ、この搬出入口 26には気 密に開閉可能になされたゲートバルブ 28が設けられている。
[0044] そして、この処理容器 14の底部 30に排気空間 32が形成されている。具体的には、 この容器底部 30の中央部には大きな開口 34が形成されており、この開口 34に、そ の下方へ延びる有底円筒体状の円筒区画壁 36を連結してその内部に上記排気空 間 32を形成している。そして、この排気空間 32を区画する円筒区画壁 36の底部 38 には、これより起立させて載置台構造 40が設けられている。この載置台構造 40は、 上記底部 38から起立された円筒体状の支柱 42と、この支柱 42の上端部に固定され て上面に被処理体である半導体ウェハ Wを載置する載置台 44とを含む。
[0045] また、上記載置台 44は、例えばセラミック材ゃ石英ガラスよりなっている。この載置 台 44内には、加熱手段として通電により熱を発生する例えばカーボンワイヤヒータ等 よりなる抵抗加熱ヒータ 46が収容されて、この載置台 44の上面に載置された半導体 ウェハ Wを加熱し得るようになってレ、る。
[0046] 上記載置台 44には、この上下方向に貫通して複数、例えば 3本のピン揷通孔 48が 形成されており(図 1においては 2つのみ示す)、上記各ピン揷通孔 48に上下移動可 能に遊嵌状態で挿通させた押し上げピン 50を配置している。この押し上げピン 50の 下端には、円形リング形状に形成された例えばアルミナのようなセラミックス製の押し 上げリング 52が配置されており、この押し上げリング 52に、上記各押し上げピン 50の 下端を固定されない状態にて支持させている。この押し上げリング 52から延びるァー ム部 54は、容器底部 30を貫通して設けられる出没ロッド 56に連結されており、この 出没ロッド 56はァクチユエータ 58により昇降可能となっている。これにより、上記各押 し上げピン 50をウェハ Wの受け渡し時に各ピン揷通孔 48の上端から上方へ出没さ せる。また、ァクチユエータ 58の出没ロッド 56の容器底部の貫通部には、伸縮可能 なべローズ 60が介設されており、上記出没ロッド 56が処理容器 14内の気密性を維 持しつつ昇降できる。
[0047] 上記排気空間 32の入口側の開口 34は、載置台 44の直径よりも小さく設定されて おり、上記載置台 44の周縁部の外側を流下する処理ガスが載置台 44の下方に回り 込んで開口 34へ流入するようになっている。上記円筒区画壁 36の下部側壁には、こ の排気空間 32に臨ませて排気口 62が形成されており、この排気口 62には、真空排 気系 64が接続される。この真空排気系 64は、上記排気口 62に接続された排気通路 66を有し、この排気通路 66には、圧力調整弁 68や真空ポンプ 70等が順次介設さ れ、上記処理容器 14内及び排気空間 32の雰囲気を圧力制御しつつ真空引きして 排気できる。
[0048] 上記シャワーヘッド部 16には、これに所定のガスを供給するために、原料ガスを供 給する原料ガス供給手段 72と、還元ガスを供給するための還元ガス供給手段 74と が接続されている。具体的には、上記原料ガス供給手段 72は、上記 2つのガス拡散 室の内の一方のガス拡散室 22Aのガス入口 76に接続された原料ガス流路 78を有し ている。この原料ガス流路 78は、ここでは 2つに分岐されて、一方の分岐路 80は、途 中に開閉弁 82及びマスフローコントローラのような流量制御器 84を順次介設して第 1の原料を収容する第 1の原料源 86に接続されている。
[0049] この第 1の原料としては、遷移金属を含む遷移金属含有原料が用いられ、例えば 流量制御された Arガス等の不活性ガスでパブリングすることにより、上記原料をガス 化して遷移金属含有原料ガスを不活性ガスに随伴させて供給できる。ここで、上記原 料の蒸気圧が低い場合には、原料の蒸気圧を上げるために上記第 1の原料源 86は ヒータ 86aで加熱される。上記遷移金属含有原料としては、例えばマンガンを含む( MeCp) Mn (プリカーサ)を用いることができる。
2
また原料ガスの供給は、パブリング方式のみならず、液体原料気化方式や溶液原 料気化方式を用いてもよい。ここで液体原料気化方式とは、室温で液体である原料 を気化器で気化させる方式を指し、溶液原料気化方式とは、室温で固体または液体 である原料を溶媒に溶力、して液体とし、その溶液を気化器で気化させる方式を指す。 このような方式は、 Mn原料ガスの供給だけでなぐ Cu原料ガスの供給にも適用する こと力 Sできる。
[0050] また、他方の分岐路 88は、途中に開閉弁 90及びマスフローコントローラのような流 量制御器 92を順次介設して第 2の原料を収容する第 2の原料源 94に接続されてい る。この第 2の原料としては銅を含む銅含有原料が用いられ、例えば流量制御された Arガス等の不活性ガスでパブリングすることにより、上記原料をガス化して銅含有原 料ガスを不活性ガスに随伴させて供給できる。ここで、上記原料の蒸気圧が低い場 合には、原料の蒸気圧を上げるために上記第 2の原料源 94はヒータ 94aで加熱され る。上記銅含有原料としては、例えば Cuを含む Cu (hfac) TMVS、 Cu (hfac) 、 Cu
2
(dibm) 等(プリカーサ)を用いることができる。
2
[0051] 尚、バブリング用の上記不活性ガスとして Arガスに代えて、 He、 Ne等も用レ、ること ができる。
そして、上記各分岐路 80、 88、これらに介設される開閉弁 82、 90、流量制御器 84 、 92及び原料ガス流路 78には、原料ガスが再液化することを防止するためにテープ ヒータ 96が卷回して設けられており、これらを加熱するようになっている。尚、使用す る原料に応じて原料ガス供給手段を複数設置してもよいのは勿論である。
[0052] また上記還元ガス供給手段 74は、他方のガス拡散室 22Bのガス入口 98に接続さ れた還元ガス流路 100を有している。この還元ガス流路 100は、途中に開閉弁 102 及びマスフローコントローラのような流量制御器 104を順次介設して還元ガスを収容 する還元ガス源 106に接続されている。上記還元ガスとしては、ここでは Hガスを用
2 いている力 S、他に H Oや気化させた有機溶剤等を用いることができる。
2
[0053] ここで原料ガスはシャワーヘッド部 16の上方に位置するガス拡散室 22Aに接続さ れ、還元ガスは下方に位置するガス拡散室 22Bに接続されている。これはシャワー ヘッド部 16は載置台 44と対向し近接していることから、ガス噴射面 18の温度が上昇 する傾向にあり、このため原料ガスを下方のガス拡散室 22Bに導入すると、ガスが分 解する恐れがあるためである。
また図示されないが、パージ用の不活性ガス供給手段が上記シャワーヘッド部 16 に接続されており、必要に応じてパージガスを供給するようになっている。このパージ 用ガスとしては、 N ガス、 Arガス、 Heガス、 Neガス等の不活性ガスを用いることがで
2
きる。
[0054] そして、このような装置全体の動作を制御するために、例えばマイクロコンピュータ 等よりなる制御手段 108を有しており、上記各ガスの供給の開始と停止の制御、供給 量の制御、処理容器 14内の圧力制御、ウェハ Wの温度制御等を行うようになってい る。そして、上記制御手段 108は、上記した制御を行うためのコンピュータプログラム を記憶するための記憶媒体 110を有している。上記記憶媒体 110としては、例えばフ レキシブルディスク、フラッシュメモリ、ハードディスク、 CD (Compact Disc)等を用 レ、ることができる。
[0055] 次に、以上のように構成された成膜装置の動作について説明する。
まず、未処理の半導体ウェハ Wは、図示しない搬送アームに保持されて開状態と なったゲートバノレブ 28、搬出入口 26を介して処理容器 14内へ搬入される。このゥェ ハ Wは、上昇された押し上げピン 50に受け渡された後に、この押し上げピン 50を降 下させることにより、載置台 44の上面に載置される。
[0056] 次に、原料ガス供給手段 72や還元ガス供給手段 74を動作させて、シャワーヘッド 部 16へ処理ガスとして成膜ガス等の所定の各ガスをそれぞれ流量制御しつつ供給 して、このガスをガス噴射孔 20A、 20Bより吹き出して噴射し、処理空間 Sへ導入する 。この各ガスの供給態様については後述するように種々存在する。そして真空排気 系 64に設けた真空ポンプ 70の駆動を継続することにより、処理容器 14内や排気空 間 32内の雰囲気を真空引きし、そして、圧力調整弁 68の弁開度を調整して処理空 間 Sの雰囲気を所定のプロセス圧力に維持する。この時、ウェハ Wの温度は、載置 台 44内に設けた抵抗加熱ヒータ 46により加熱されて所定のプロセス温度に維持され ている。これにより、半導体ウェハ Wの表面に所望の薄膜が熱 CVD法等の熱処理に より形成されることになる。
上記 Cu含有原料ガスや Mn含有原料ガスを流す場合、流路加熱手段 96により原 料ガス流路 78及び両分岐路 80、 88を加熱してこれに流れる原料ガスが液化するこ とを防止する力 この時の加熱温度は使用する原料ガスにより異なり、原料ガスとして Cu (Mac) TMVS及び(MeCp) Mnを用いた場合には両ガスが液化せず、且つ熱
2
分解しない温度、例えば 55〜90°C程度に加熱される。また、シャワーヘッド部 16及 び処理容器 14自体は 60〜80°C程度に加熱されている。
[0057] 次に、図 2乃至図 4も参照して本発明方法による成膜方法を具体的に説明する。
図 2は半導体ウェハの凹部を中心とした各工程における薄膜の堆積状況を示す図 、図 3は本発明の成膜方法の各工程を示すフローチャートであり、図 3 (A)は第 1実 施例を示し、図 3 (B)は第 2実施例を示す。図 4はシード膜を形成する時の ALD法に よる各ガスの供給状態を説明するタイミングチャートである。
[0058] 本発明方法の目的の 1つは、各成膜処理及びァニール処理を 1つの成膜装置内(i nsitu)で連続的に行うことである。例えば上記成膜装置 12内へウェハ Wが搬入され る時には、図 2 (A)に示すように、ウェハ Wに形成された、例えば層間絶縁膜などの 絶縁層 1の表面には、トレンチやホールのような凹部 2が形成されており、この凹部 2 の底部に銅等よりなる下層の配線層 3が露出している。下地膜となる上記絶縁層 1は 、シリコンを含む酸化物、例えば SiOよりなる。
2
[0059] さて、本発明方法では、このような状態の半導体ウェハ Wの表面に、まず図 2 (B)に 示すようにシード膜形成工程でシード膜 6を形成する。この場合、上記シード膜 6は、 CuMn合金膜(図 3 (A)の S1)であってもよレ、し、 Mn膜(図 3 (B)の SI _ 1)であって もよレ、。また、このシード膜 6の形成は、 CVD法でもよいし、 ALD法でもよレ、。ここで、 ALD法とは、異なる成膜用ガスを交互に供給して原子レベルあるいは分子レベルの 薄膜を 1層ずつ繰り返し形成する成膜方法をいう。
[0060] 次に、図 2 (C)に示すように、坦め込み工程で金属膜として Cu膜 8を形成して上記 凹部 2内を埋め込む(図 3 (A)の S2及び図 3 (B)の S2)。この埋め込み工程は CVD 法でもよいし、 ALD法でもよいし、更には従来方法と同様に PVD法 (スパッタゃ蒸着 )ゃメツキ法を用いてもよい。更に、必要な場合には、バリヤ膜の形成を確実にするた めに、ウェハ Wを高温に晒してァニール処理を行って、図 2 (D)に示すようにシード 膜 6と、この下地層である SiO膜よりなる絶縁層 1との境界部分で自己整合的に反応
2
させて MnSixOy (x、 y:任意の整数)膜よりなるバリヤ層 112を確実に形成する(図 3 (A)の S3及び図 3 (B)の S3)。尚、このァニール処理は、高温処理を伴う前工程で すでにバリヤ層 112が形成されてレ、る場合には行わなくてもよいが、バリヤ膜 112を 十分に形成するためには、このァニール処理を行なうことが好ましい。
[0061] ここで各工程について詳しく説明する。
まず、シード膜 6として CuMn合金膜(図 3 (A)の S1)を形成する場合には、 3種類 の成膜方法がある。その 1つ目の成膜方法は、 Cu含有原料ガスと Mn含有原料ガス と還元ガスである Hガスとを全て同時に流し、 CVD法により CuMn合金膜を形成す
2
る方法である。 2つ目の成膜方法は、図 4 (A)に示すように ALD法を採用し、 Cu含有原料ガスと Mn含有原料ガスとを同期させて供給し、且つこれらの両ガスと Hガスとを交互に間
2
欠的に繰り返し流す。上記両ガスと Hガスとの間の間欠期間 T1はパージ期間であり
2
、処理容器 14内の残留ガスを真空引きだけで排除するようにしてもよいし、 Nガス等
2 の不活性ガスを導入しつつ真空引きして排除するようにしてもょレ、。このパージの方 法は、以下に説明する方法でも同様に適用される。
[0062] この ALD法では、例えばある Mn含有原料ガスの供給から次の Mn含有原料ガス の供給までの間力^サイクルとなり、これで一層の非常に薄レ、、例えば 0. 4〜0. 6n m程度の CuMn合金膜が形成される。ここで必要なシード膜 6の厚さは、 CuMn膜中 の Mn純金属の膜厚保に換算して例えば 2nm程度であり、上記成膜処理を、例えば 10〜100サイクル程度行うことになる。すなわち、 ALD法による成膜を行えば、膜厚 の制御性を高くすることができ CVD法に比べてより薄い膜を制御性よく成膜すること が可能である。
[0063] この時のプロセス条件は(CVD処理の場合も含む)、プロセス温度が 70〜450°C 程度、プロセス圧力力 SlPa〜13kPa程度である。また、 Mn含有原料ガスの流量は 0 . 1〜: !Osccm程度、 Cu含有原料ガスの流量は l〜100sccm程度であり、いずれに しても、 Mnに対して Cuが 10倍程度多くなるようにして、 CuMn合金膜の成分が Cuリ ツチの状態とする。また Hガスの流量は 5〜500sccm程度である。ただし、 Cuは Si
2
O等の絶縁膜に対する密着性が弱いため、成膜の初期においては Cu含有原料ガ
2
スに対する Mn含有原料ガスの流量比率を高め、得られる合金膜の成分が Mnリッチ になるようにしてもよい。
[0064] 更に、 Mn含有原料ガスの供給期間 tlは 10〜: 15sec程度、 Cu含有原料ガスの供 給期間 t2は lOsec程度、 Hガスの供給期間 t3は lOsec程度、間欠期間 T1は 20〜
2
120sec程度である。ここで上述のように、 Cuは Si〇 等の絶縁膜に対して密着性が
2
弱いため、成膜の初期においては Cu含有原料ガスの供給期間 t2に対する Mn含有 原料ガスの供給期間 tlを長め、例えば 15sec (図 4 (A)中で点線 121で示す)にして おいてもよい。すなわち Mn含有原料ガスと Cu含有原料ガスの供給比率を、成膜時 間の推移にともなレ、、あるいは堆積膜厚に応じて順次変更するようにプロセスレシピ を組むことができる。これにより CuMn合金膜中の成分を Mnリッチな状態から Cuリツ チな状態に次第に変化させることも可能である。これにより絶縁層 1とシード膜 6との 間、およびシード膜 6と Cu膜 8との間の密着性を高くすることができ、成膜中の膜剥 がれ等を防止することができる。
[0065] 図 4 (A)に示す場合には、 Mn含有原料ガスと Cu含有原料ガスとを同期させて同 時に給排するようにしたが、 3つ目の成膜方法は、図 4 (B)に示すような ALD法であり 、上記両ガスを互いに間欠期間を挟んで交互に繰り返し供給すると共に、上記間欠 期間の時に、 Hガスを供給する。この場合には、 1サイクルの期間が上記図 4 (A)に
2
示す場合よりも 2倍に長くなる。そして、膜厚が 0. 2〜0. 3nm程度の非常に薄い Mn 膜と膜厚が 0. 2〜0. 3nm程度の非常に薄レ、 Cu膜とが交互に積層された合金状態 のシード膜 6となる。このとき図 4 (B)に示すように、最初のステップでは、シード膜 6と 絶縁層 1との間の密着性及びバリヤ性を考慮して、 Cu含有原料ガスの供給に先立つ て、 Mn含有原料ガスが供給されるようにステップを組むことが望ましい。尚、両膜は 共に非常に薄いので Mnと Cuが互いに拡散して合金状態となる。
[0066] このような ALD法による成膜は、 CVD法による成膜よりも、微細な凹部の内壁にも 十分に膜が付着することになるので、ステップカバレッジを更に向上させることができ 、特に、凹部の寸法がより微細になる程、この ALD法は有効である。
次に、図 2 (C)及び図 3 (A)の S2に示す金属膜 8として Cu膜を形成する場合には、 Cu含有原料ガスと Hガスとを同時に流し、 CVD法により Cu膜よりなる金属膜 8を形
2
成するようにしてもよいし、 Cu含有原料ガスと Hガスとを、図 4 (A)及び図 4 (B)に示
2
したと同様に交互に繰り返し流すようにしてもよい。
あるいは Hガスは流さずに、単なる熱分解反応により Cu膜よりなる金属膜 8を形成
2
してもよい。
[0067] この時のプロセス条件は(CVD処理の場合も含む)、プロセス温度が 70〜450°C 程度、プロセス圧力が lPa〜13kPa程度である。また Cu含有原料ガスの流量は 1〜 lOOsccm程度、 Hガスの流量は 5〜500sccm程度である。
2
また、上記 CVD法や ALD法に代えて、従来方法である PVD法 (スパッタゃ蒸着) ゃメツキ法を用いて、上記 Cu膜よりなる金属膜 8を形成して埋め込みを行うようにして あよい。
[0068] 特に、 CVD法や ALD法の場合には、メツキ法よりも微細な凹部の内壁に薄膜が堆 積し易くなるので、凹部が更に微細化しても、内部にボイド等を生ぜしめることなく凹 部の埋め込みを行うことができる。
次に、図 2 (D)及び図 3 (A)の S3に示すァニール処理を行う場合には、上記埋め 込み処理が完了したウェハ Wを、所定のプロセス温度、例えば 100〜450°C程度に 加熱し、これにより、シード膜 6と下地膜となる SiO 膜よりなる絶縁層 1との境界部分
2
に、 自己整合的に MnSixOy膜よりなるバリヤ層 112を確実に形成する。なおァニー ル処理時には、処理容器内に酵素供給手段 76aから酸素を供給し、酸素分圧をコン トロール可能な構成としてもよレ、。
[0069] このァニール処理は、上記バリヤ層 112を確実に形成することを目的としており、従 つて、前工程であるシード膜形成工程や Cu膜形成工程が十分に高い温度、例えば 150°C以上の高温のプロセス温度で行われていれば、上記バリヤ層 112はすでに十 分な厚さで形成された状態となっているので、上記ァニール処理を不要とすることが できる。尚、図 3 (A)の S2でメツキ処理を行った場合には、上記ァニール処理を行う のは勿論である。
ここで上記シード膜形成工程、 CVD法や ALD法による Cu膜形成工程及びァニー ル処理は全て同一の処理装置 12内で連続的に行うことができる。
[0070] このように、真空引き可能になされた処理容器 14内で、銅を含む Cu含有原料ガス と遷移金属であるマンガンを含む Mn含有原料ガスと還元ガスである Hガスとにより
2
ウェハ Wの表面に、熱処理により薄膜を形成するようにしたので、微細な凹部 2でも、 高いステップカバレッジで埋め込むことができる。しかも、同一の処理装置 12で連続 的な処理を行うようにして装置コストを大幅に低減化することができる。
また、同一の装置 12内で、すなわち in_situで連続処理を行うことができるので、 不要な金属酸化膜が形成されることを抑制でき、この結果、埋め込み性を改善できる と共に、コンタクト抵抗が大きくなることを防止することができ、ひいては半導体装置の 信頼性向上、歩留まりの改善を図ることができる。
[0071] また従来必要とされた Ta膜や TaN膜等よりなるバリヤ層を形成する工程が不要とな り、その分、スループットを向上させることができる。
更には、シード膜 6として CuMn合金膜を用いた場合には、坦め込み材料である C uがー部に含まれるので、この上層の金属膜 8との密着性を高めることができる。 ここで前述した CuMn膜中の Cuと Mnの成分の比率、すなわちこれらの元素の組 成比を変化させる点について更に詳しく説明する。
図 5は成膜時間(熱処理)の推移に伴う Mn含有原料ガスと Cu含有原料ガスの供給 量の変化の一例を示すグラフである。尚、グラフでは供給量の変化の傾向を示すだ けであり、供給量の絶対値を示すものではない。
ここでは前述したように、上記薄膜中の銅 Cuと遷移金属である例えば Mnとの組成 比を上記薄膜の膜厚方向で変化させるために上記銅含有原料ガス及び/又は上記 遷移金属含有原料ガスの供給量を上記熱処理の途中で変化させるようにしている。 具体的には、薄膜である CuMn膜の薄膜中の上記遷移金属の組成比が、上記薄膜 内の下層側は大きぐ上層側へ行くに従って小さくなるように上記各原料ガスの供給 量が制御される。すなわち図 5 (A)に示すように、成膜初期では Mn含有原料ガスは 多い流量で流し、暫く経過した後に、成膜時間の経過に従って順次、例えば直線的 に流量を減少させた後に、最後に流量を略ゼロにしてレ、る。
これに対して、 Cu含有原料ガスは成膜初期では、暫くの間はほとんど流さずに、純 Mn金属膜を成膜しており、そして、 Mn含有原料ガスの減少に対応させて Cu含有 原料ガスの流量を成膜時間の経過に従って、例えば直線的に増加させており、最後 に Mn含有原料ガスの供給量をゼロに維持したまま Cu含有原料ガスの流量を最大 にして暫く成膜し、ここで純 Cu金属膜を形成している。
この場合の薄膜は、成膜の初期では純 Mn金属膜となり、その後は、 CuMn合金に なって Mnリッチの状態が続き、途中から Cuリッチの状態に逆転し、最後は純 Cu金 属膜となっている。
図 5 (B)においては、成膜の開始から Mn原料ガスは一定の供給量から次第に減 少させ、逆に Cu含有原料ガスは供給量ゼロから次第に増加させている。この場合、 薄膜の厚さ方向の全体が CuMn膜になっており、図 5 (A)に示すような純 Mn金属膜 や純 Cu金属膜を形成していなレ、。尚、図 5 (A)及び図 5 (B)においては直線状の増 加特性、或いは減少特性となっている力 これに代えて曲線状の増加特性、或いは 減少特性となるように各原料ガスの供給量を調整するようにしてもよい。
[0073] 上記図 5 (A)及び図 5 (B)の場合には、 CuMn合金膜の部分では Cuと Mnの組成 比は膜厚の下から上方向に向けて Mnリッチの状態から Cuリッチの状態へ連続的に 変ィ匕することになる。図 5 (C)に示す場合には、 Mn含有原料ガスをステップ(階段状 )に減少させ、これに対して Cu含有原料ガスをステップ状(階段状)に増加させている 場合を示している。この場合には、 CuMn合金膜中の Cuと Mnの組成比はステップ 状に変化することになる。尚、このステップの数は特に限定されないのは勿論である。 上記図 5 (A)〜図 5 (C)に示す場合には、膜中の下層では純 Mn金属膜、或いは Mnリッチな CuMn合金になって、上層では純 Cu金属膜或いは Cuリッチな CuMn合 金になっているので、前述したように下地膜 Si〇2と Cu膜 8との密着性を一層向上さ せること力 Sできる。
[0074] また上記実施例ではシード膜 6として CuMn合金膜を形成した場合を例にとって説 明したが(図 3 (A)の S1)、前述したようにシード膜 6として Mn膜(図 3 (B)の S1— 1) を形成するようにしてもよい。この Mn膜を形成する場合には、 Mn含有原料ガスと還 元ガスである Hガスとを同時に流して CVD法により形成する方法と、上記 Mn含有
2
原料ガスと Hガスとを図 4 (C)に示すように、交互に繰り返し流し、 ALD法により形成
2
する方法のいずれかを用いることができる。この場合のプロセス条件、例えばプロセ ス圧力、プロセス温度、各ガスの流量等は、図 4 (A)及び図 4 (B)にて説明した場合と 同様である。また図 3 (B)中の S2及び S3は図 3 (A)中の S2及び S3とそれぞれ同じ 内容の工程であり、この場合にも前工程でバリヤ層 112が十分に形成されていれば、 図 3 (B)中の S3のァニール処理を省略することができる。更には Mn膜上に Cu膜を 堆積する場合でも、これらの膜を in—situで処理することで、これら金属同志の密着 性を高めることができる。
[0075] またシード膜 6として Mn膜を形成した場合には、上層の Cu配線層 8は凹部 2の底 部において、 Cu膜よりも抵抗値が大きな Mn膜を介して下層の Cu配線層 3に接続さ れることになる。し力 このシード膜は、従来のスパッタリングによる Mn膜に比べて非 常に薄いので、ァニール処理等により Mn元素の大部分は Cu配線層 3、および Cu配 線層 8中に拡散することにより、 Mnの層としては存在しなくなるので、この部分のコン タクト抵抗が高くなることはなレ、。
また、上記薄膜である CuMn膜中(純 Mn金属膜や純 Cu金属膜を有する場合も含 む)や Mn膜中における Mn金属の量は最適値があり、その値は Mnの純金属の膜厚 の換算にして 0. 7〜2. 6nmの範囲内であり、上記 Mn金属膜の換算値の範囲内に 納まるように上記薄膜を形成するのがよい。すなわち、ァニール工程において、前述 したように Mnは化合して MnSixOy膜になり、また余剰の Mnはある程度は拡散によ つて Cu膜内を拡散して表面に排出されるが、 Mn量が過剰に膜中に含まれると排出 し切れなかった Mn成分が凹部を坦め込んだ Cu膜中に残留することになり、この残 留する Mn成分が Cu配線の抵抗値の上昇を招くなどの配線の信頼性を低下させて しまう。
[0076] この場合、薄膜中の Mn含有量を上述したような Mnの純金属の膜厚の換算にして 0. 7〜2. 6nmの範囲内に設定することにより必要十分な量の Mn量を Cu配線と絶 縁層との界面となるバリヤ層に保持させることができる。上記 Mn量が厚さ 0. 7nmより も小さい場合には、特性が良好なバリヤ層を作成することができなくなり、また、 2. 6n mよりも大きい場合には、上述したように過剰分の Mn成分が Cu配線中に残存し、こ の膜質特性を劣化させてしまう。
[0077] 尚、図 1に示す装置例では原料ガス供給手段 72の 2つの原料ガスの流路は途中で 合流されていたが、これに限らず、これらを別々に分離するようにしてもよい。図 6はこ のように構成された成膜装置の原料ガス供給手段の変形例を示す部分構成図であ る。図 6に示す場合には、シャワーヘッド部 16とこれに接続される原料ガス供給手段 72が示されており、図 1に示す構成部分と同一構成部分については同一参照符号 が付してある。
[0078] ここでは、 Mnを含む第 1の原料源 86及び Cuを含む第 2の原料源 94からは、それ ぞれ原料ガス流路 120、 122が延びている。そして、この各原料ガス流路 120、 122 は途中で合流されることなくそのまま各先端力 シャワーヘッド部 16の共通のガス入 口 76に接続されており、原料ガスの搬送途中で両者が互いに混ざり合うことなくシャ ヮーヘッド部 16内へ導入されるようになっている。 [0079] この場合にも、上記各原料ガス流路 120 122には、例えばテープヒータよりなる流 路加熱手段 96a 96bが卷回するようにして設けられており、これに流れる各原料ガ スが液化しないように加熱している。この場合には、流れる原料ガスに対応した最適 な温度で上記各原料ガス流路 120 122をそれぞれ加熱し、維持すること力 Sできる。 具体的には、原料として (MeCp) Mnを用いた場合には原料ガス流路 96aは例えば
2
70 90°Cの範囲に加熱し、原料として Cu(Mac)TMVSを用いた場合には原料ガ ス流路 96bは例えば 55 70°Cの範囲に設定する。この場合には、先に説明した場 合と同様な作用効果を発揮することができる。
[0080] また、上記有機金属材料としては、先に説明したものに限定されず、遷移金属と C( 炭素)と H (水素)とからなるものならどのようなものを用いてもよい。或いは、有機金属 材料としては、 M(R_Cp)x(xは自然数)を用いることができ、又は、 M(R_Cp)x( CO)y(x yは自然数)を用いることができる。ただし、 Mは遷移金属を示し、 Rはァノレ キル基を示して H CH C H C H C Hよりなる群より選択される 1つであり、 C
3 2 5 3 7 4 9
Pはシクロペンタンジェニル基(C H )、 COはカルボニル基である。
5 4
[0081] また、上記 Mn含有原料を用いた有機金属材料としては、 Cp Mn[ = Mn(C H )
2 5 5 2
] (MeCp) Mn[ = Mn(CH C H ) ] (EtCp) Mn[ = Mn(C H C H ) ] (i—
2 3 5 4 2 2 2 5 5 4 2
PrCp) Mn[ = Mn(C H C H )コ、 MeCpMn(CO) [=(CH C H )Mn(C〇) ]
2 3 7 5 4 3 3 5 4 3
(t-BuCp) Mn[ = Mn(C H C H ) ] CH Mn(CO) Mn(DPM) [ = Mn(C
2 4 9 5 4 2 3 5 3 1
H O ) ] Mn(DMPD) (EtCp) [ = Mn(C H C H C H )] Mn(acac) [ = M
1 19 2 3 7 11 2 5 5 4 2 n(C H O ) ], Mn(DPM) [ = Mn(C H O ) ] Mn(acac) [ = Mn(C H O )
5 7 2 2 2 11 19 2 2 3 5 7 2
] Mn(hfac) [ Mn(C HF O ) ]よりなる群から選択される 1以上の材料を用い
3 2 5 6 2 3
ること力 sできる。また有機金属材料の他にも、金属錯体材料を用いることができる。
[0082] また、ここでは下地膜である絶縁層 1として Si〇を用いた場合を例にとって説明した
2
が、これに限定されず、層間絶縁層として用いられる Low_k (低比誘電率)材料で ある Si〇C膜、 SiCOH膜等を用いるようにしてもよぐ具体的には、上記下地膜は、 S i〇膜 (熱酸化膜とプラズマ TEOS膜を含む)と SiOC膜と SiCOH膜と SiCN膜とポー
2
ラスシリカ膜とポーラスメチルシノレセスキォキサン膜とポリアリレン膜と SiLK (登録商 標)膜とフロロカーボン膜とよりなる群から選択される 1つの或いはこれらの積層膜を 用いることができる。
また、ここでは還元ガスとして H ガスを用いた力 S、他に H 〇や気化させた有機溶
2 2
剤、例えばエタノール、イソプロピルアルコール、アセトン、へキサン、オクタン、酢酸 ブチル等も用いることができる。
更には、ここでは遷移金属として Mnを用いた場合を例にとって説明した力 これに 限定されず、他の遷移金属、例えば Mn、 Nb、 Zr、 Cr、 V、 Y、 Pd、 Ni、 Pt、 Rh、 Tc 、 Al、 Mg、 Sn、 Ge、 Ti、 Reよりなる群から選択される 1以上の金属を用いることがで きる。
また、ここで説明した成膜装置は単に一例を示したに過ぎず、例えば加熱手段とし て抵抗加熱ヒータに代えてハロゲンランプ等の加熱ランプを用いるようにしてもよいし 、処理装置は枚葉式のみならずバッチ式のものであってもよい。
更には、熱処理による成膜に限定されず、例えばシャワーヘッド部 16を上部電極と し、載置台 44を下部電極として両電極間に高周波電力を必要に応じて印加してブラ ズマを立てるようにし、成膜時にプラズマによるアシストを加えるようにしてもよい。 更に、ここでは被処理体として半導体ウェハを例にとって説明した力 これに限定さ れず、ガラス基板、 LCD基板、セラミック基板等にも本発明を適用することができる。

Claims

請求の範囲
[1] 真空引き可能になされた処理容器内に被処理体を搬送する工程と、
処理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供 給するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成す る工程と、を備えたことを特徴とする成膜方法。
[2] 処理容器内に、銅を含む銅含有原料ガスと、遷移金属を含む遷移金属含有原料 ガスと、還元ガスとを供給するとともに被処理体を加熱して、被処理体の表面に熱処 理により薄膜を形成することを特徴とする請求項 1記載の成膜方法。
[3] 前記熱処理は、 CVD (Chemical Vapor Deposition)法であることを特徴とする 請求項 1記載の成膜方法。
[4] 前記熱処理は、前記原料ガスと前記還元ガスとを交互に繰り返し供給して成膜を行 う ALD (Atomic Layer Deposition)法であることを特徴とする請求項 1記載の成膜 方法。
[5] 前記熱処理は、前記 2つの原料ガスを間欠期間を挟んで交互に繰り返し供給する と共に、前記間欠期間の時に前記還元ガスを供給するようにしたことを特徴とする請 求項 2記載の成膜方法。
[6] 前記薄膜が形成された被処理体上に、 CVD法により銅膜を堆積して前記被処理 体の凹部の坦め込み処理を行うようにしたことを特徴とする請求項 1記載の成膜方法
[7] 前記坦め込み処理は、前記薄膜を形成した処理容器内で行うことを特徴とする請 求項 6記載の成膜方法。
[8] 前記坦め込み処理を行った後、前記被処理体に対してァニール処理が施されるこ とを特徴とする請求項 6記載の成膜方法。
[9] 前記ァニール処理は、前記薄膜を形成した処理容器内で行うことを特徴とする請 求項 8記載の成膜方法。
[10] 前記薄膜が形成された被処理体上に、メツキ法により銅膜を堆積して前記被処理 体の凹部の坦め込み処理を行うようにしたことを特徴とする請求項 1記載の成膜方法
[11] 前記被処理体の凹部の坦め込み処理を行った後、前記被処理体に対してァニー ル処理が施されることを特徴とする請求項 10記載の成膜方法。
[12] 前記薄膜中の銅と遷移金属との組成比を前記薄膜の膜厚方向で変化させるため に前記銅含有原料ガス及び Z又は前記遷移金属含有原料ガスの供給量を前記熱 処理の途中で変化させるようにしたことを特徴とする請求項 2記載の成膜方法。
[13] 前記薄膜中の前記遷移金属の組成比が、前記薄膜内の下層側は大きぐ上層側 へ行くに従って小さくなるように前記各原料ガスの供給量が制御されることを特徴と する請求項 12記載の成膜方法。
[14] 前記薄膜中に含まれる前記遷移金属の量は、前記遷移金属の純金属の膜厚に換 算して 0. 7〜2. 6nmの範囲内であることを特徴とする請求項 1記載の成膜方法。
[15] 前記処理体の表面は前記薄膜の下地膜となっており、このの下地膜は、 SiO膜と
2
SiOC膜と SiCOH膜と SiCN膜とポーラスシリカ膜とポーラスメチルシルセスキォキサ ン膜とポリアリレン膜と SiLK (登録商標)膜とフロロカーボン膜よりなる群から選択され る 1つ以上の膜よりなることを特徴とする請求項 1記載の成膜方法。
[16] 前記遷移金属含有原料ガスの遷移金属含有原料は、有機金属材料、或いは金属 錯体材料よりなることを特徴とする請求項 1記載の成膜方法。
[17] 前記有機金属材料は、 M (R— Cp) x (xは自然数)であり、ここで Mは遷移金属を示 し、 Rはアルキル基を示して H、 CH、 C H、 C H、 C Hよりなる群より選択される 1
3 2 5 3 7 4 9
つであり、 Cpはシクロペンタンジェニル基(C H )であることを特徴とする請求項 16
5 4
記載の成膜方法。
[18] 前記有機金属材料は、 M (R— Cp) x (C〇) y (x、 yは自然数)であり、ここで Mは遷 移金属を示し、 Rはアルキル基を示して H、 CH、 C H、 C H、 C Hよりなる群より
3 2 5 3 7 4 9
選択される 1つであり、 Cpはシクロペンタンジェニル基(C H )、 COはカルボニル基
5 4
であることを特徴とする請求項 16記載の成膜方法。
[19] 前記有機金属材料は、遷移金属と Cと Hとからなることを特徴とする請求項 16記載 の成膜方法。
[20] 前記遷移金属は、 Mn、 Nb、 Zr、 Cr、 V、 Y、 Pd、 Ni、 Pt、 Rh、 Tc、 Al、 Mg、 Sn、
Ge、 Ti、 Reよりなる群から選択される 1以上の金属であることを特徴とする請求項 1記 載の成膜方法。
[21] 前記遷移金属はマンガン (Mn)よりなり、該マンガンを含む有機金属材料は、 Cp
2
Mn[ = Mn(C H ) ]、 (MeCp) Mn[ = Mn(CH C H ) ]、 (EtCp) Mn[ = Mn(
5 5 2 2 3 5 4 2 2
C H C H ) ]、 (i-PrCp) Mn[ = Mn(C H C H ) コ、 MeCpMn(CO) [= (CH
2 5 5 4 2 2 3 7 5 4 2 3
C H )Mn(C〇) ]、 (t-BuCp) Mn[ = Mn(C H C H ) ]、 CH Mn(CO) 、 M
3 5 4 3 2 4 9 5 4 2 3 5 n(DPM) [ = Mn(C H 〇) ]、 Mn(DMPD) (EtCp) [ = Mn(C H C H C H
3 11 19 2 3 7 11 2 5 5 4
)], Mn(acac) [ = Mn(C H〇) ]、Mn(DPM) [ = Mn(C H O ) ]、Mn(ac
2 5 7 2 2 2 11 19 2 2
ac) [ = Mn(C H〇 ) ]、 Mn(hfac) [ = Mn(C HF〇 ) ]よりなる群から選択され
3 5 7 2 3 2 5 6 2 3
る 1以上の材料であることを特徴とする請求項 16記載の成膜方法。
[22] 前記熱処理ではプラズマが併用されることを特徴とする請求項 1記載の成膜方法。
[23] 前記原料ガスと還元ガスとは前記処理容器内で初めて混合されることを特徴とする 請求項 1記載の成膜方法。
[24] 前記還元ガスは Hガスであることを特徴とする請求項 1記載の成膜方法。
2
[25] 被処理体の表面に、熱処理によって遷移金属を含む薄膜を形成する成膜装置に おいて、真空引き可能になされた処理容器と、
前記処理容器内に設けられて前記被処理体を載置するための載置台構造と、 前記被処理体を加熱する加熱手段と、
前記処理容器内へガスを導入するガス導入手段と、
前記ガス導入手段へ原料ガスを供給する原料ガス供給手段と、
前記ガス導入手段へ還元ガスを供給する還元ガス供給手段と、
を備えたことを特徴とする成膜装置。
[26] 前記原料ガスの種類は複数存在し、前記原料ガス供給手段は原料ガス毎に設けら れた異なる原料ガスの分岐路を有し、該原料ガスの分岐路は途中で合流されている ことを特徴とする請求項 25記載の成膜装置。
[27] 前記原料ガスの種類は複数存在し、前記原料ガス供給手段は原料ガス毎に設けら れた異なる原料ガスの分岐路を有し、該原料ガスの分岐路は途中で合流されること なく前記ガス導入手段にそれぞれ共通に接続されていることを特徴とする請求項 25 記載の成膜装置。
[28] 前記原料ガスの分岐路には、該原料ガス流路に流れる前記原料ガスの液化を防止 するために加熱するための流路加熱手段が設けられていることを特徴とする請求項 2
6又は 27記載の成膜装置。
[29] 前記原料ガスは、少なくとも遷移金属を含む遷移金属含有原料ガスを含むことを特 徴とする請求項 25記載の成膜装置。
[30] 前記原料ガスは銅を含む銅含有原料と、遷移金属を含む遷移金属含有原料ガスと を含むことを特徴とする請求項 29記載の成膜装置。
[31] 前記還元ガスは Hガスであることを特徴とする請求項 25記載の成膜装置。
2
[32] 成膜装置に用いられ、コンピュータに成膜方法を実行させるためのコンピュータプ ログラムにおいて、
成膜方法は、真空引き可能になされた処理容器内に被処理体を搬送する工程と、 処理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供 給するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成す る工程と、を備えたことを特徴とするコンピュータプログラム。
[33] 真空引き可能になされた処理容器と、
前記処理容器内に設けられて被処理体を載置するための載置台構造と、 前記被処理体を加熱する加熱手段と、
前記処理容器内へガスを導入するガス導入手段と、
前記ガス導入手段へ原料ガスを供給する原料ガス供給手段と、
前記ガス導入手段へ還元ガスを供給する還元ガス供給手段と、
装置全体を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面 に熱処理によって遷移金属を含む薄膜を形成する成膜方法をコンピュータに実行さ せるためのコンピュータプログラムにおいて、
成膜方法は、真空引き可能になされた処理容器内に被処理体を搬送する工程と、 処理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供 給するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成す る工程と、を備えたことを特徴とするコンピュータプログラム。
[34] 前記原料ガスは、銅を含む銅含有原料ガスと遷移金属を含む遷移金属含有原料 ガスとを含むことを特徴とする請求項 33記載のコンピュータプログラム。
[35] 成膜装置に用いられ、コンピュータに成膜方法を実行させるためのコンピュータプ ログラムを格納した記憶媒体におレ、て、
成膜方法は、真空引き可能になされた処理容器内に被処理体を搬送する工程と、 処理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供 給するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成す る工程と、を備えたことを特徴とするコンピュータプログラムを格納したコンピュータ読 み取り可能な記憶媒体。
[36] 真空引き可能になされた処理容器と、
前記処理容器内に設けられて被処理体を載置するための載置台構造と、 前記被処理体を加熱する加熱手段と、
前記処理容器内へガスを導入するガス導入手段と、
前記ガス導入手段へ原料ガスを供給する原料ガス供給手段と、
前記ガス導入手段へ還元ガスを供給する還元ガス供給手段と、
装置全体を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面 に熱処理によって遷移金属を含む薄膜を形成する成膜方法をコンピュータに実行さ せるためのコンピュータプログラムを格納した記憶媒体において、
成膜方法は、真空引き可能になされた処理容器内に被処理体を搬送する工程と、 処理容器内に少なくとも遷移金属を含む遷移金属含有原料ガスと、還元ガスとを供 給するとともに被処理体を加熱して、被処理体の表面に熱処理により薄膜を形成す る工程と、を備えたことを特徴とするコンピュータプログラムを格納したコンピュータ読 み取り可能な記憶媒体。
[37] 前記原料ガスは、銅を含む銅含有原料ガスと遷移金属を含む遷移金属含有原料 ガスとを含むことを特徴とする請求項 36記載のコンピュータプログラムを格納したコン ピュータ読み取り可能な記憶媒体。
PCT/JP2007/061637 2006-06-08 2007-06-08 成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体 WO2007142329A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/303,831 US20100233876A1 (en) 2006-06-08 2007-06-08 Film forming apparatus, film forming method, computer program and storage medium

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2006159942 2006-06-08
JP2006-159942 2006-06-08
JP2007-148856 2007-06-05
JP2007148856A JP2008013848A (ja) 2006-06-08 2007-06-05 成膜装置及び成膜方法

Publications (1)

Publication Number Publication Date
WO2007142329A1 true WO2007142329A1 (ja) 2007-12-13

Family

ID=38801573

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/061637 WO2007142329A1 (ja) 2006-06-08 2007-06-08 成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体

Country Status (4)

Country Link
US (1) US20100233876A1 (ja)
JP (1) JP2008013848A (ja)
KR (1) KR20090009962A (ja)
WO (1) WO2007142329A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206472A (ja) * 2008-01-28 2009-09-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、電子機器、半導体製造装置及び記憶媒体
JP2011003688A (ja) * 2009-06-18 2011-01-06 Tokyo Electron Ltd 基板処理方法、基板処理装置及びコンピュータ読み取り可能な記憶媒体
US7932176B2 (en) 2008-03-21 2011-04-26 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US8569165B2 (en) 2009-10-23 2013-10-29 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US8653665B2 (en) 2009-06-16 2014-02-18 Tokyo Electron Limited Barrier layer, film forming method, and processing system
US20150371898A1 (en) * 2014-06-23 2015-12-24 Global Foundries, Inc. Integrated circuits including modified liners and methods for fabricating the same

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5076452B2 (ja) * 2006-11-13 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5196467B2 (ja) * 2007-05-30 2013-05-15 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
TW200910431A (en) * 2007-06-22 2009-03-01 Rohm Co Ltd Semiconductor device and method for manufacturing the same
JP5343369B2 (ja) 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
JP2010073736A (ja) * 2008-09-16 2010-04-02 Rohm Co Ltd 半導体装置の製造方法
JP2010050359A (ja) * 2008-08-22 2010-03-04 Rohm Co Ltd 半導体装置の製造方法
JP2010098196A (ja) * 2008-10-17 2010-04-30 Hitachi Cable Ltd 配線構造及び配線構造の製造方法
KR20130138352A (ko) 2008-11-07 2013-12-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
JP5411535B2 (ja) * 2009-03-11 2014-02-12 東京エレクトロン株式会社 半導体装置の製造方法
JP5522979B2 (ja) * 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
JP5507909B2 (ja) 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
KR102450568B1 (ko) 2009-11-13 2022-10-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5429078B2 (ja) 2010-06-28 2014-02-26 東京エレクトロン株式会社 成膜方法及び処理システム
JP6041464B2 (ja) * 2011-03-03 2016-12-07 大陽日酸株式会社 金属薄膜の製膜方法、および金属薄膜の製膜装置
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
GB2531453A (en) 2013-07-02 2016-04-20 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US20150155313A1 (en) 2013-11-29 2015-06-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10825724B2 (en) 2014-04-25 2020-11-03 Taiwan Semiconductor Manufacturing Company Metal contact structure and method of forming the same in a semiconductor device
US9613856B1 (en) * 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US10727118B2 (en) * 2017-11-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device and pre-clean apparatus for semiconductor device
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure
US11004736B2 (en) * 2019-07-19 2021-05-11 International Business Machines Corporation Integrated circuit having a single damascene wiring network
KR20210063493A (ko) 2019-11-21 2021-06-02 삼성전자주식회사 반도체 장치의 제조방법 및 반도체 장치의 제조 설비

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11200048A (ja) * 1998-01-21 1999-07-27 Tori Chemical Kenkyusho:Kk 銅合金膜形成材料及び銅合金膜形成方法
JP2001244265A (ja) * 2000-02-25 2001-09-07 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2005248231A (ja) * 2004-03-03 2005-09-15 Tokyo Electron Ltd 成膜方法
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
JP2006073863A (ja) * 2004-09-03 2006-03-16 Nikko Materials Co Ltd 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法
JP2006128288A (ja) * 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
KR100995236B1 (ko) * 2005-02-10 2010-11-17 도쿄엘렉트론가부시키가이샤 박막의 적층 구조, 그 형성 방법, 성막 장치 및 기억 매체
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20070264816A1 (en) * 2006-05-12 2007-11-15 Lavoie Adrien R Copper alloy layer for integrated circuit interconnects
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US20080223287A1 (en) * 2007-03-15 2008-09-18 Lavoie Adrien R Plasma enhanced ALD process for copper alloy seed layers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11200048A (ja) * 1998-01-21 1999-07-27 Tori Chemical Kenkyusho:Kk 銅合金膜形成材料及び銅合金膜形成方法
JP2001244265A (ja) * 2000-02-25 2001-09-07 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
JP2005248231A (ja) * 2004-03-03 2005-09-15 Tokyo Electron Ltd 成膜方法
JP2006073863A (ja) * 2004-09-03 2006-03-16 Nikko Materials Co Ltd 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法
JP2006128288A (ja) * 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206472A (ja) * 2008-01-28 2009-09-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、電子機器、半導体製造装置及び記憶媒体
US7932176B2 (en) 2008-03-21 2011-04-26 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US8222134B2 (en) 2008-03-21 2012-07-17 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US8653665B2 (en) 2009-06-16 2014-02-18 Tokyo Electron Limited Barrier layer, film forming method, and processing system
JP2011003688A (ja) * 2009-06-18 2011-01-06 Tokyo Electron Ltd 基板処理方法、基板処理装置及びコンピュータ読み取り可能な記憶媒体
US8569165B2 (en) 2009-10-23 2013-10-29 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US9112005B2 (en) 2009-10-23 2015-08-18 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US20150371898A1 (en) * 2014-06-23 2015-12-24 Global Foundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same

Also Published As

Publication number Publication date
KR20090009962A (ko) 2009-01-23
JP2008013848A (ja) 2008-01-24
US20100233876A1 (en) 2010-09-16

Similar Documents

Publication Publication Date Title
WO2007142329A1 (ja) 成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体
JP5683038B2 (ja) 成膜方法
US8440563B2 (en) Film forming method and processing system
JP5487748B2 (ja) バリヤ層、成膜方法及び処理システム
US8008184B2 (en) Semiconductor device manufacturing method, semiconductor manufacturing apparatus and storage medium
KR101361249B1 (ko) 성막 장치 및 성막 방법
US8207061B2 (en) Semiconductor device manufacturing method using valve metal and nitride of valve metal
CN101911266B (zh) 半导体装置的制造方法、半导体制造装置及存储介质
JP2007154297A (ja) 成膜方法および成膜装置
US8133811B2 (en) Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, computer program and storage medium
JP2019062142A (ja) 選択成膜方法および半導体装置の製造方法
US7846839B2 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
TW200810019A (en) Film forming apparatus, film forming method, computer program and storage medium
US20120040085A1 (en) METHOD FOR FORMING Cu FILM AND STORAGE MEDIUM
US8697572B2 (en) Method for forming Cu film and storage medium

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780021133.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07744953

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020087029964

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07744953

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)