WO2007143567A3 - Multiple slot load lock chamber and method of operation - Google Patents

Multiple slot load lock chamber and method of operation Download PDF

Info

Publication number
WO2007143567A3
WO2007143567A3 PCT/US2007/070246 US2007070246W WO2007143567A3 WO 2007143567 A3 WO2007143567 A3 WO 2007143567A3 US 2007070246 W US2007070246 W US 2007070246W WO 2007143567 A3 WO2007143567 A3 WO 2007143567A3
Authority
WO
WIPO (PCT)
Prior art keywords
load lock
lock chamber
substrate
elevation
cavity
Prior art date
Application number
PCT/US2007/070246
Other languages
French (fr)
Other versions
WO2007143567A2 (en
Inventor
Shinichi Kurita
Suhail Anwar
Jae-Chull Lee
Original Assignee
Applied Materials Inc
Shinichi Kurita
Suhail Anwar
Jae-Chull Lee
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Shinichi Kurita, Suhail Anwar, Jae-Chull Lee filed Critical Applied Materials Inc
Priority to JP2009513476A priority Critical patent/JP5072958B2/en
Priority to KR1020097024579A priority patent/KR101289024B1/en
Priority to EP07798025A priority patent/EP2024264A4/en
Publication of WO2007143567A2 publication Critical patent/WO2007143567A2/en
Publication of WO2007143567A3 publication Critical patent/WO2007143567A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

Embodiments of the invention include a load lock chamber (104), a processing system (100) having a load lock chamber, and a method for transferring substrates (112) between atmospheric (102) and vacuum (110) environments. In one embodiment, the method includes maintaining a processed substrate within a transfer cavity (208, 210) formed in a chamber body (104) for two venting cycles. In another embodiment, the method includes transferring a substrate from a transfer cavity (208, 210) to a heating cavity (212) formed in the chamber body, and heating the substrate in the heating cavity. In another embodiment, a load lock chamber (104) includes a chamber body having a substrate support (218) disposed in a transfer cavity (208, 210). The substrate support is movable between a first elevation and a second elevation. A plurality of grooves (502) are formed in at least one of a ceiling or floor of the transfer cavity and configured to receive a portion (296) of the substrate support when located in the second elevation.
PCT/US2007/070246 2006-06-02 2007-06-01 Multiple slot load lock chamber and method of operation WO2007143567A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009513476A JP5072958B2 (en) 2006-06-02 2007-06-01 Multi-slot load lock chamber and method of operation
KR1020097024579A KR101289024B1 (en) 2006-06-02 2007-06-01 Multiple slot load lock chamber and method of operation
EP07798025A EP2024264A4 (en) 2006-06-02 2007-06-01 Multiple slot load lock chamber and method of operation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/421,793 US7665951B2 (en) 2006-06-02 2006-06-02 Multiple slot load lock chamber and method of operation
US11/421,793 2006-06-02

Publications (2)

Publication Number Publication Date
WO2007143567A2 WO2007143567A2 (en) 2007-12-13
WO2007143567A3 true WO2007143567A3 (en) 2008-12-04

Family

ID=38790413

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/070246 WO2007143567A2 (en) 2006-06-02 2007-06-01 Multiple slot load lock chamber and method of operation

Country Status (7)

Country Link
US (2) US7665951B2 (en)
EP (1) EP2024264A4 (en)
JP (1) JP5072958B2 (en)
KR (2) KR101289024B1 (en)
CN (2) CN102275739A (en)
TW (1) TWI394699B (en)
WO (1) WO2007143567A2 (en)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4860167B2 (en) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7880598B2 (en) 2006-12-08 2011-02-01 International Business Machines Corporation Six face, multi-event, orientation sensor
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2009182235A (en) * 2008-01-31 2009-08-13 Tokyo Electron Ltd Load lock apparatus and substrate cooling method
JP5108557B2 (en) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 Load lock device and substrate cooling method
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101111399B1 (en) * 2009-02-09 2012-02-24 주식회사 싸이맥스 Loadlock chamber performing a wafer transmission and a heat treatment simultaneously
JP5037551B2 (en) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 Substrate replacement mechanism and substrate replacement method
JP5511536B2 (en) * 2010-06-17 2014-06-04 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
EP2693461B1 (en) * 2011-04-15 2015-11-25 Wuxi Huaying Microelectronics Technology Co., Ltd. Semiconductor processing device
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9435626B2 (en) * 2011-08-12 2016-09-06 Corning Incorporated Kinematic fixture for transparent part metrology
US20150010718A1 (en) * 2012-01-04 2015-01-08 Tel Solar Ag Heat transfer control in pecvd systems
KR20190132561A (en) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 Adaptive heat transfer methods and systems for uniform heat transfer
CN104137248B (en) * 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
US9337014B1 (en) * 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9378994B2 (en) * 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US9717147B2 (en) * 2013-09-26 2017-07-25 Applied Materials, Inc. Electronic device manufacturing system
KR102170150B1 (en) * 2014-03-04 2020-10-26 주식회사 제우스 Separation type heat treatment apparatus for substrate
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10278501B2 (en) 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN104269369A (en) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 Device and method for preheating wafers through vacuum loading cavity
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6294365B2 (en) * 2016-01-29 2018-03-14 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
WO2017144782A1 (en) * 2016-02-26 2017-08-31 Beneq Oy Improved aerosol coating device and method
KR102345172B1 (en) * 2016-03-08 2021-12-31 에바텍 아크티엔게젤샤프트 Chamber for substrate degassing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6625230B2 (en) * 2016-09-28 2019-12-25 株式会社Kokusai Electric Substrate processing apparatus, substrate cooling unit, and method of manufacturing semiconductor device
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036157A1 (en) * 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
WO2020016087A1 (en) * 2018-07-17 2020-01-23 Asml Netherlands B.V. Particle beam inspection apparatus
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202230583A (en) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 Substrate processing system and particle removal method
CN113140483A (en) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 Wafer conveying method and wafer conveying platform
CN113213204A (en) * 2021-06-11 2021-08-06 丰县鑫牧网络科技有限公司 Paper storage box for printing machine

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6053686A (en) * 1998-02-09 2000-04-25 Asm Japan K.K. Device and method for load locking for semiconductor processing
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7236229B2 (en) * 2003-03-06 2007-06-26 Canon Kabushiki Kaisha Load lock chamber, processing system

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (en) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (en) 1987-05-24 1988-11-29 Tatsumo Kk Heat treatment device
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (en) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (en) 1987-10-15 1990-02-02 Solems Sa PROCESS AND APPARATUS FOR THE PRODUCTION BY PLASMA OF THIN FILMS FOR ELECTRONIC AND / OR OPTOELECTRONIC USE
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (en) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vacuum system
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0793348B2 (en) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド Multi-chamber vacuum processing apparatus and multi-chamber vacuum semiconductor wafer processing apparatus
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227807A (en) * 1989-11-29 1993-07-13 Ael Defense Corp. Dual polarized ambidextrous multiple deformed aperture spiral antennas
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (en) 1990-08-29 1997-08-25 株式会社日立製作所 Vacuum processing apparatus and operating method thereof
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (en) 1990-11-26 1997-03-26 株式会社日立製作所 Vacuum processing equipment
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
KR0162102B1 (en) * 1991-05-29 1999-02-01 이노우에 아키라 Semiconductor manufacturing apparatus
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (en) 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (en) 1992-07-03 1994-01-25 Shinko Electric Co Ltd Transportation system inside clean room
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
DE69323716T2 (en) 1993-01-28 1999-08-19 Applied Materials Inc Process for CVD coating of a multilayer structure in a single chamber
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
DE69304038T2 (en) 1993-01-28 1996-12-19 Applied Materials Inc Device for a vacuum process with improved throughput
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3258748B2 (en) 1993-02-08 2002-02-18 東京エレクトロン株式会社 Heat treatment equipment
KR100261532B1 (en) 1993-03-14 2000-07-15 야마시타 히데나리 Multi-chamber system provided with carrier units
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (en) 1993-04-13 1999-09-15 히가시 데쓰로 A treating apparatus for semiconductor process
KR100267617B1 (en) 1993-04-23 2000-10-16 히가시 데쓰로 Vacuum process apparatus and vacuum processing method
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
DE69402918T2 (en) 1993-07-15 1997-08-14 Applied Materials Inc Substrate catcher and ceramic sheet for semiconductor processing equipment
JP3654597B2 (en) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (en) 1994-08-25 2001-02-19 富士電子工業株式会社 High frequency heating equipment
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (en) 1995-04-20 2002-04-02 東京エレクトロン株式会社 Pressure adjusting device and room communication method using the same
JPH08340036A (en) * 1995-06-09 1996-12-24 Tokyo Electron Ltd Treatment equipment
JP3288200B2 (en) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
TW309503B (en) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (en) 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
CH691376A5 (en) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vacuum system for surface machining of workpieces.
JP2713276B2 (en) 1995-12-07 1998-02-16 日本電気株式会社 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method using the same
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (en) 1996-09-13 2001-08-27 東京エレクトロン株式会社 Processing system
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (en) 1996-12-24 1999-12-15 윤종용 Etching apparatus for semiconductor device
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
JP3549141B2 (en) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 Substrate processing device and substrate holding device
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (en) 1997-06-25 2001-01-15 윤종용 Semiconductor manufacturing equipment and driving method thereof
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (en) 1997-08-07 1999-02-26 Tokyo Electron Ltd Heat treatment system and gas processing system
JP4048387B2 (en) 1997-09-10 2008-02-20 東京エレクトロン株式会社 Load lock mechanism and processing device
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP2002504744A (en) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド System and method for handling non-workpieces subjected to vacuum processing with low contamination and high throughput
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (en) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 Preliminary vacuum chamber and vacuum processing apparatus using the same
US6717578B1 (en) * 1998-02-17 2004-04-06 Sun Microsystems, Inc. Graphics system with a variable-resolution sample buffer
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100561703B1 (en) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 Processing system
JP2000174091A (en) * 1998-12-01 2000-06-23 Fujitsu Ltd Carrying device and manufacturing device
JP2000195925A (en) 1998-12-28 2000-07-14 Anelva Corp Substrate-treating device
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000306978A (en) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001319885A (en) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc Processing system for substrate and method for producing semiconductor
KR20080109062A (en) 2000-09-15 2008-12-16 어플라이드 머티어리얼스, 인코포레이티드 Double dual slot load lock for process equipment
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
AU2002344320A1 (en) 2001-05-17 2002-11-25 Tokyo Electron Limited Cylinder-based plasma processing system
JP4244555B2 (en) * 2002-02-25 2009-03-25 東京エレクトロン株式会社 Support mechanism for workpiece
JP4168642B2 (en) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 To-be-processed object storage container body and processing system
JP3970184B2 (en) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 Processing equipment
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
JP2005277049A (en) * 2004-03-24 2005-10-06 Tokyo Electron Ltd System and method for heat treatment
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP4619854B2 (en) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 Load lock device and processing method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6053686A (en) * 1998-02-09 2000-04-25 Asm Japan K.K. Device and method for load locking for semiconductor processing
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7236229B2 (en) * 2003-03-06 2007-06-26 Canon Kabushiki Kaisha Load lock chamber, processing system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2024264A4 *

Also Published As

Publication number Publication date
CN101472814A (en) 2009-07-01
US20070280816A1 (en) 2007-12-06
US7665951B2 (en) 2010-02-23
US20100139889A1 (en) 2010-06-10
TWI394699B (en) 2013-05-01
US8061949B2 (en) 2011-11-22
KR20080031851A (en) 2008-04-11
JP2009540547A (en) 2009-11-19
WO2007143567A2 (en) 2007-12-13
JP5072958B2 (en) 2012-11-14
KR101289024B1 (en) 2013-07-23
KR100945331B1 (en) 2010-03-08
EP2024264A4 (en) 2012-04-11
EP2024264A2 (en) 2009-02-18
CN102275739A (en) 2011-12-14
KR20100017367A (en) 2010-02-16
TW200817263A (en) 2008-04-16

Similar Documents

Publication Publication Date Title
WO2007143567A3 (en) Multiple slot load lock chamber and method of operation
TW200715448A (en) Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
WO2010025253A3 (en) Load lock chamber for large area substrate processing system
WO2007112454A3 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
PL373857A1 (en) System for substrate processing with meniscus, vacuum, ipa vapor, drying manifold
WO2008002780A3 (en) Batch processing platform for ald and cvd
AU2001290171A1 (en) High pressure processing chamber for semiconductor substrate
WO2002023597A3 (en) Double dual slot load lock for process equipment
WO2003005413A3 (en) Fast swap dual substrate transport for load lock
EP1056123A3 (en) Dual buffer chamber cluster tool for semiconductor wafer processing
TW200733291A (en) Decoupled chamber body
AU2003245592A1 (en) Transfer chamber for vacuum processing system
EP1526565A3 (en) Load lock chamber for large area substrate processing system
TW200644085A (en) A plasma enhanced atomic layer deposition system having reduced contamination
EP1065701A3 (en) Inert barrier for high purity epitaxial deposition systems
EP1158568A3 (en) Plasma assisted semiconductor substrate processing chamber
WO2003034473A3 (en) Substrate support
MY137329A (en) Process of transferring a thin layer including an over-weakening phase
WO2007009000A3 (en) Fluid deposition cluster tool
WO2009050849A1 (en) Substrate processing apparatus
EP0834907A3 (en) High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
WO2007046853A3 (en) Systems for discretized processing of substrate regions
WO2007027436A3 (en) Processing thin wafers
WO2002058116A3 (en) Integrated system for processing semiconductor wafers
WO2008014136A3 (en) Octagon transfer chamber

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780000429.9

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020077023938

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07798025

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009513476

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2007798025

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 9/CHENP/2009

Country of ref document: IN

NENP Non-entry into the national phase

Ref country code: RU

WWE Wipo information: entry into national phase

Ref document number: 1020097024579

Country of ref document: KR