WO2008027709A3 - Microelectronic device having interconnects and conductive backplanes - Google Patents

Microelectronic device having interconnects and conductive backplanes Download PDF

Info

Publication number
WO2008027709A3
WO2008027709A3 PCT/US2007/075638 US2007075638W WO2008027709A3 WO 2008027709 A3 WO2008027709 A3 WO 2008027709A3 US 2007075638 W US2007075638 W US 2007075638W WO 2008027709 A3 WO2008027709 A3 WO 2008027709A3
Authority
WO
WIPO (PCT)
Prior art keywords
interconnects
conductive
semiconductor substrate
electrically coupled
microelectronic device
Prior art date
Application number
PCT/US2007/075638
Other languages
French (fr)
Other versions
WO2008027709A2 (en
Inventor
Mark E Tuttle
Original Assignee
Micron Technology Inc
Mark E Tuttle
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc, Mark E Tuttle filed Critical Micron Technology Inc
Priority to KR1020097006627A priority Critical patent/KR101186712B1/en
Publication of WO2008027709A2 publication Critical patent/WO2008027709A2/en
Publication of WO2008027709A3 publication Critical patent/WO2008027709A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Abstract

Microfeature workpieces having interconnects and conductive backplanes and associated systems and methods are disclosed herein. One such device includes a semiconductor substrate having integrated circuitry and terminals electrically coupled to the integrated circuitry. The device also includes electrically conductive interconnects extending through at least a portion of the semiconductor substrate and electrically coupled to corresponding terminals. The device further includes a conductive backplane assembly having a conductive layer at a back side of the semiconductor substrate. One or more of the interconnects are electrically coupled to the conductive layer at the back side of the semiconductor substrate.
PCT/US2007/075638 2006-08-31 2007-08-09 Microelectronic device having interconnects and conductive backplanes WO2008027709A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020097006627A KR101186712B1 (en) 2006-08-31 2007-08-09 Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/514,568 2006-08-31
US11/514,568 US7902643B2 (en) 2006-08-31 2006-08-31 Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods

Publications (2)

Publication Number Publication Date
WO2008027709A2 WO2008027709A2 (en) 2008-03-06
WO2008027709A3 true WO2008027709A3 (en) 2008-04-17

Family

ID=38858920

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/075638 WO2008027709A2 (en) 2006-08-31 2007-08-09 Microelectronic device having interconnects and conductive backplanes

Country Status (4)

Country Link
US (3) US7902643B2 (en)
KR (1) KR101186712B1 (en)
TW (1) TWI368972B (en)
WO (1) WO2008027709A2 (en)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US8959762B2 (en) 2005-08-08 2015-02-24 Rf Micro Devices, Inc. Method of manufacturing an electronic module
US8434220B2 (en) * 2007-06-27 2013-05-07 Rf Micro Devices, Inc. Heat sink formed with conformal shield
US8053872B1 (en) 2007-06-25 2011-11-08 Rf Micro Devices, Inc. Integrated shield for a no-lead semiconductor device package
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7629249B2 (en) * 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
TWI341014B (en) * 2007-05-30 2011-04-21 Ind Tech Res Inst A device structure with preformed ring and method therefor
KR100818116B1 (en) * 2007-06-20 2008-03-31 주식회사 하이닉스반도체 Semiconductor package
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
SG149710A1 (en) 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
KR100871388B1 (en) * 2007-08-09 2008-12-02 주식회사 하이닉스반도체 Semiconductor package and method of manufacturing the semiconductor package
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8253230B2 (en) * 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8334599B2 (en) * 2008-08-21 2012-12-18 Qimonda Ag Electronic device having a chip stack
KR101002680B1 (en) * 2008-10-21 2010-12-21 삼성전기주식회사 Semiconductor package and method of manufacturing the same
US8227889B2 (en) * 2008-12-08 2012-07-24 United Microelectronics Corp. Semiconductor device
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8089144B2 (en) * 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
KR101026489B1 (en) * 2009-08-10 2011-04-01 주식회사 하이닉스반도체 Semiconductor package and method of manufacturing the same
KR101069288B1 (en) * 2009-08-10 2011-10-05 주식회사 하이닉스반도체 Semiconductor package
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US20110204517A1 (en) * 2010-02-23 2011-08-25 Qualcomm Incorporated Semiconductor Device with Vias Having More Than One Material
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8896136B2 (en) * 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US9137934B2 (en) 2010-08-18 2015-09-15 Rf Micro Devices, Inc. Compartmentalized shielding of selected components
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
US8835226B2 (en) 2011-02-25 2014-09-16 Rf Micro Devices, Inc. Connection using conductive vias
US9627230B2 (en) 2011-02-28 2017-04-18 Qorvo Us, Inc. Methods of forming a microshield on standard QFN package
US8367478B2 (en) * 2011-06-02 2013-02-05 International Business Machines Corporation Method and system for internal layer-layer thermal enhancement
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8742598B2 (en) * 2011-10-05 2014-06-03 Infineon Technologies Ag Semiconductor structure and method for making same
US8803316B2 (en) * 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
KR20130106634A (en) * 2012-03-20 2013-09-30 에스케이하이닉스 주식회사 Semiconductor package, electronic system and manufacturing method for the same
US20130313710A1 (en) * 2012-05-22 2013-11-28 Micron Technology, Inc. Semiconductor Constructions and Methods of Forming Semiconductor Constructions
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US8940637B2 (en) 2012-07-05 2015-01-27 Globalfoundries Singapore Pte. Ltd. Method for forming through silicon via with wafer backside protection
KR102021884B1 (en) 2012-09-25 2019-09-18 삼성전자주식회사 Semiconductor Device Having Backside Bonding Structure
WO2014069662A1 (en) 2012-11-05 2014-05-08 大日本印刷株式会社 Wiring structure
JP6235785B2 (en) * 2013-03-18 2017-11-22 日本電子材料株式会社 Probe card guide plate and probe card guide plate manufacturing method
US9865524B2 (en) * 2013-04-08 2018-01-09 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias using backside via reveal and selective passivation
US9059111B2 (en) 2013-04-11 2015-06-16 International Business Machines Corporation Reliable back-side-metal structure
US20140326856A1 (en) * 2013-05-06 2014-11-06 Omnivision Technologies, Inc. Integrated circuit stack with low profile contacts
US9807890B2 (en) 2013-05-31 2017-10-31 Qorvo Us, Inc. Electronic modules having grounded electromagnetic shields
US9484325B2 (en) * 2013-10-09 2016-11-01 Invensas Corporation Interconnections for a substrate associated with a backside reveal
US9252148B2 (en) 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
JP2015177382A (en) * 2014-03-15 2015-10-05 キヤノン株式会社 Device with element electrode connected with through-wiring, and manufacturing method thereof
US9768066B2 (en) 2014-06-26 2017-09-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by direct via reveal with organic passivation
US11239138B2 (en) * 2014-06-27 2022-02-01 Taiwan Semiconductor Manufacturing Company Methods of packaging semiconductor devices and packaged semiconductor devices
US9484328B2 (en) * 2014-08-01 2016-11-01 Empire Technology Development Llc Backside through silicon vias and micro-channels in three dimensional integration
US9373585B2 (en) * 2014-09-17 2016-06-21 Invensas Corporation Polymer member based interconnect
JP2016122759A (en) * 2014-12-25 2016-07-07 キヤノン株式会社 Manufacturing method for electronic device having through wiring
US9666514B2 (en) 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US9741620B2 (en) * 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US20170013715A1 (en) 2015-07-10 2017-01-12 Rohde & Schwarz Gmbh & Co. Kg Printed circuit board and corresponding method for producing a printed circuit board
US9786592B2 (en) * 2015-10-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method of forming the same
KR101712288B1 (en) * 2015-11-12 2017-03-03 앰코 테크놀로지 코리아 주식회사 Package of semiconductor and method for manufacturing the same
US10276402B2 (en) * 2016-03-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing process thereof
US11189573B2 (en) * 2016-03-31 2021-11-30 Intel Corporation Semiconductor package with electromagnetic interference shielding using metal layers and vias
US10236208B2 (en) * 2016-06-16 2019-03-19 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method of manufacturing the same
US11127689B2 (en) 2018-06-01 2021-09-21 Qorvo Us, Inc. Segmented shielding using wirebonds
US11219144B2 (en) 2018-06-28 2022-01-04 Qorvo Us, Inc. Electromagnetic shields for sub-modules
US11114363B2 (en) 2018-12-20 2021-09-07 Qorvo Us, Inc. Electronic package arrangements and related methods
US11515282B2 (en) 2019-05-21 2022-11-29 Qorvo Us, Inc. Electromagnetic shields with bonding wires for sub-modules
US11257766B1 (en) 2020-08-21 2022-02-22 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
TWI740716B (en) * 2020-11-16 2021-09-21 旭德科技股份有限公司 Substrate structure
JP2022139954A (en) * 2021-03-12 2022-09-26 キオクシア株式会社 Wiring board, semiconductor package and method for manufacturing wiring board

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US20020094607A1 (en) * 2001-01-16 2002-07-18 Uta Gebauer Electronic component with stacked semiconductor chips and method of producing the component
JP2005310817A (en) * 2004-04-16 2005-11-04 Seiko Epson Corp Method of manufacturing semiconductor device, circuit board, and electronic apparatus
US20060043599A1 (en) * 2004-09-02 2006-03-02 Salman Akram Through-wafer interconnects for photoimager and memory wafers

Family Cites Families (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2821959A (en) 1956-03-29 1958-02-04 Bell Telephone Labor Inc Mass soldering of electrical assemblies
US3006318A (en) 1958-03-26 1961-10-31 Western Electric Co Apparatus for applying solder coatings to surfaces
DE1160831B (en) 1962-04-21 1964-01-09 Knapsack Ag Method and device for the production of titanium nitride
US3865298A (en) 1973-08-14 1975-02-11 Atomic Energy Commission Solder leveling
US3902036A (en) 1974-05-02 1975-08-26 Western Electric Co Control system using multiplexed laser beams
US4040168A (en) 1975-11-24 1977-08-09 Rca Corporation Fabrication method for a dual gate field-effect transistor
US4368106A (en) 1980-10-27 1983-01-11 General Electric Company Implantation of electrical feed-through conductors
US5027184A (en) 1981-03-02 1991-06-25 Rockwell International Corporation NPN type lateral transistor with minimal substrate operation interference
US4756765A (en) 1982-01-26 1988-07-12 Avco Research Laboratory, Inc. Laser removal of poor thermally-conductive materials
US4534100A (en) 1982-06-28 1985-08-13 The United States Of America As Represented By The Secretary Of The Air Force Electrical method of making conductive paths in silicon
GB8312850D0 (en) 1983-05-10 1983-06-15 British Telecomm Semiconductor wafer fabrication
JPS60220940A (en) 1983-05-20 1985-11-05 Hitachi Ltd Automatic examining unit for foreign object
FR2547519B1 (en) 1983-06-15 1987-07-03 Snecma LASER DRILLING METHOD AND DEVICE
US4581301A (en) 1984-04-10 1986-04-08 Michaelson Henry W Additive adhesive based process for the manufacture of printed circuit boards
US4984597B1 (en) 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4660063A (en) 1985-03-18 1987-04-21 General Electric Company Immersion type ISFET
US4627971A (en) 1985-04-22 1986-12-09 Alza Corporation Osmotic device with self-sealing passageway
US5026964A (en) 1986-02-28 1991-06-25 General Electric Company Optical breakthrough sensor for laser drill
JPS6352432A (en) 1986-08-22 1988-03-05 Hitachi Vlsi Eng Corp Semiconductor device
JPH07112041B2 (en) 1986-12-03 1995-11-29 シャープ株式会社 Method for manufacturing semiconductor device
US5144412A (en) 1987-02-19 1992-09-01 Olin Corporation Process for manufacturing plastic pin grid arrays and the product produced thereby
US4768291A (en) 1987-03-12 1988-09-06 Monarch Technologies Corporation Apparatus for dry processing a semiconductor wafer
US4907127A (en) 1988-03-21 1990-03-06 Lee John K C Printed circuit board construction and method for producing printed circuit end products
JPH01252308A (en) 1988-03-31 1989-10-09 Toppan Printing Co Ltd Hole formation method for multilayer circuit wiring board
US5219344A (en) 1988-06-09 1993-06-15 Visx, Incorporated Methods and apparatus for laser sculpture of the cornea
DE3831141A1 (en) 1988-09-13 1990-03-22 Zeiss Carl Fa METHOD AND DEVICE FOR MICROSURGERY ON EYE BY LASER RADIATION
FR2637151A1 (en) 1988-09-29 1990-03-30 Commissariat Energie Atomique METHOD OF MAKING ELECTRICAL CONNECTIONS THROUGH A SUBSTRATE
US4959705A (en) 1988-10-17 1990-09-25 Ford Microelectronics, Inc. Three metal personalization of application specific monolithic microwave integrated circuit
US5024966A (en) 1988-12-21 1991-06-18 At&T Bell Laboratories Method of forming a silicon-based semiconductor optical device mount
JPH02235589A (en) 1989-03-09 1990-09-18 Fuji Electric Co Ltd Laser beam machining method
JPH02257643A (en) 1989-03-29 1990-10-18 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5347149A (en) 1989-11-29 1994-09-13 Texas Instruments Incorporated Integrated circuit and method
US5098864A (en) 1989-11-29 1992-03-24 Olin Corporation Process for manufacturing a metal pin grid array package
US5006922A (en) 1990-02-14 1991-04-09 Motorola, Inc. Packaged semiconductor device having a low cost ceramic PGA package
KR100199261B1 (en) 1990-04-27 1999-06-15 가나이 쓰도무 Semiconductor device, its fabrication method and molding apparatus used therefor
US5145099A (en) 1990-07-13 1992-09-08 Micron Technology, Inc. Method for combining die attach and lead bond in the assembly of a semiconductor package
US6545563B1 (en) 1990-07-16 2003-04-08 Raytheon Company Digitally controlled monolithic microwave integrated circuits
FR2665574B1 (en) 1990-08-03 1997-05-30 Thomson Composants Microondes METHOD FOR INTERCONNECTING BETWEEN AN INTEGRATED CIRCUIT AND A SUPPORT CIRCUIT, AND INTEGRATED CIRCUIT SUITABLE FOR THIS METHOD.
JP2797684B2 (en) 1990-10-04 1998-09-17 ブラザー工業株式会社 Nozzle manufacturing method and manufacturing apparatus
US5294568A (en) 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
US5102829A (en) 1991-07-22 1992-04-07 At&T Bell Laboratories Plastic pin grid array package
US5292686A (en) 1991-08-21 1994-03-08 Triquint Semiconductor, Inc. Method of forming substrate vias in a GaAs wafer
JPH05104316A (en) 1991-10-15 1993-04-27 Nec Corp Counter boring device
JPH05183019A (en) 1991-12-27 1993-07-23 Hitachi Ltd Semiconductor device and manufacture thereof
JPH05251717A (en) 1992-03-04 1993-09-28 Hitachi Ltd Semiconductor package and semiconductor module
US5289631A (en) 1992-03-04 1994-03-01 Mcnc Method for testing, burn-in, and/or programming of integrated circuit chips
US5233448A (en) 1992-05-04 1993-08-03 Industrial Technology Research Institute Method of manufacturing a liquid crystal display panel including photoconductive electrostatic protection
US5389738A (en) 1992-05-04 1995-02-14 Motorola, Inc. Tamperproof arrangement for an integrated circuit device
US5304743A (en) 1992-05-12 1994-04-19 Lsi Logic Corporation Multilayer IC semiconductor package
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5464960A (en) 1993-01-12 1995-11-07 Iatrotech, Inc. Laser calibration device
ATE269588T1 (en) 1993-02-04 2004-07-15 Cornell Res Foundation Inc MICROSTRUCTURES AND SINGLE MASK, SINGLE CRYSTAL PRODUCTION PROCESS
JPH06310547A (en) 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5291062A (en) 1993-03-01 1994-03-01 Motorola, Inc. Area array semiconductor device having a lid with functional contacts
JP2842132B2 (en) 1993-03-05 1998-12-24 松下電器産業株式会社 Optical device
US5447871A (en) 1993-03-05 1995-09-05 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
JPH06268020A (en) 1993-03-10 1994-09-22 Sumitomo Electric Ind Ltd Semiconductor device
CO4230054A1 (en) 1993-05-07 1995-10-19 Visx Inc METHOD AND SYSTEMS FOR LASER TREATMENT OF REFRACTIVE ERRORS USING TRAVELING IMAGES FORMATION
NL9300971A (en) 1993-06-04 1995-01-02 Framatome Connectors Belgium Circuit board connector assembly.
US5518956A (en) 1993-09-02 1996-05-21 General Electric Company Method of isolating vertical shorts in an electronic array using laser ablation
US5378312A (en) 1993-12-07 1995-01-03 International Business Machines Corporation Process for fabricating a semiconductor structure having sidewalls
SE9304145D0 (en) 1993-12-10 1993-12-10 Pharmacia Lkb Biotech Ways to manufacture cavity structures
US5378313A (en) 1993-12-22 1995-01-03 Pace; Benedict G. Hybrid circuits and a method of manufacture
US5585308A (en) 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
JP3531199B2 (en) 1994-02-22 2004-05-24 三菱電機株式会社 Optical transmission equipment
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5734555A (en) 1994-03-30 1998-03-31 Intel Corporation Shared socket multi-chip module and/or piggyback pin grid array package
US6008914A (en) 1994-04-28 1999-12-28 Mitsubishi Denki Kabushiki Kaisha Laser transfer machining apparatus
US5627106A (en) 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5585675A (en) 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5550403A (en) 1994-06-02 1996-08-27 Lsi Logic Corporation Improved laminate package for an integrated circuit and integrated circuit having such a package
JPH07335783A (en) 1994-06-13 1995-12-22 Fujitsu Ltd Semiconductor device and semiconductor device unit
JPH0897375A (en) 1994-07-26 1996-04-12 Toshiba Corp Microwave integrated circuit device and manufacture thereof
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5521434A (en) 1994-10-17 1996-05-28 International Business Machines Corporation Semiconductor chip and electronic module with integrated surface interconnects/components
JPH08167630A (en) 1994-12-15 1996-06-25 Hitachi Ltd Chip connection structure
US5904499A (en) 1994-12-22 1999-05-18 Pace; Benedict G Package for power semiconductor chips
US5624437A (en) 1995-03-28 1997-04-29 Freeman; Jerre M. High resolution, high speed, programmable laser beam modulating apparatus for microsurgery
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5718791A (en) 1995-06-05 1998-02-17 R + S Stanztechnik Gmbh Method of laminating a trim panel and folding a cover sheet edge around the panel rim
US6195883B1 (en) 1998-03-25 2001-03-06 International Business Machines Corporation Full additive process with filled plated through holes
US5861654A (en) 1995-11-28 1999-01-19 Eastman Kodak Company Image sensor assembly
US5874780A (en) 1995-07-27 1999-02-23 Nec Corporation Method of mounting a semiconductor device to a substrate and a mounted structure
US5673846A (en) 1995-08-24 1997-10-07 International Business Machines Corporation Solder anchor decal and method
JP3263705B2 (en) 1995-09-21 2002-03-11 三菱電機株式会社 Printed wiring board and flat panel display driving circuit printed wiring board and flat panel display device
US5851845A (en) 1995-12-18 1998-12-22 Micron Technology, Inc. Process for packaging a semiconductor die using dicing and testing
US5776824A (en) 1995-12-22 1998-07-07 Micron Technology, Inc. Method for producing laminated film/metal structures for known good die ("KG") applications
US5773359A (en) 1995-12-26 1998-06-30 Motorola, Inc. Interconnect system and method of fabrication
US5673730A (en) 1996-01-24 1997-10-07 Micron Technology, Inc. Form tooling and method of forming semiconductor package leads
US6072236A (en) 1996-03-07 2000-06-06 Micron Technology, Inc. Micromachined chip scale package
US5893828A (en) 1996-05-02 1999-04-13 Uram; Martin Contact laser surgical endoscope and associated myringotomy procedure
US5857963A (en) 1996-07-17 1999-01-12 Welch Allyn, Inc. Tab imager assembly for use in an endoscope
US5801442A (en) 1996-07-22 1998-09-01 Northrop Grumman Corporation Microchannel cooling of high power semiconductor devices
US5843625A (en) 1996-07-23 1998-12-01 Advanced Micro Devices, Inc. Method of reducing via and contact dimensions beyond photolithography equipment limits
EP2270846A3 (en) 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US5870823A (en) 1996-11-27 1999-02-16 International Business Machines Corporation Method of forming a multilayer electronic packaging substrate with integral cooling channels
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
KR100222299B1 (en) 1996-12-16 1999-10-01 윤종용 Wafer level chip scale package and method of manufacturing the same
US5907769A (en) 1996-12-30 1999-05-25 Micron Technology, Inc. Leads under chip in conventional IC package
US6103547A (en) 1997-01-17 2000-08-15 Micron Technology, Inc. High speed IC package configuration
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5929521A (en) 1997-03-26 1999-07-27 Micron Technology, Inc. Projected contact structure for bumped semiconductor device and resulting articles and assemblies
US6008996A (en) 1997-04-07 1999-12-28 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
US6271582B1 (en) 1997-04-07 2001-08-07 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
JP3724110B2 (en) 1997-04-24 2005-12-07 三菱電機株式会社 Manufacturing method of semiconductor device
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5821532A (en) 1997-06-16 1998-10-13 Eastman Kodak Company Imager package substrate
KR100230428B1 (en) 1997-06-24 1999-11-15 윤종용 Semiconductor device comprising a multi-conductive pad and method for manufacturing the same
US6159764A (en) 1997-07-02 2000-12-12 Micron Technology, Inc. Varied-thickness heat sink for integrated circuit (IC) packages and method of fabricating IC packages
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US5811799A (en) 1997-07-31 1998-09-22 Wu; Liang-Chung Image sensor package having a wall with a sealed cover
US5962810A (en) 1997-09-09 1999-10-05 Amkor Technology, Inc. Integrated circuit package employing a transparent encapsulant
KR100280398B1 (en) 1997-09-12 2001-02-01 김영환 Manufacturing method of stacked semiconductor package module
US6048744A (en) 1997-09-15 2000-04-11 Micron Technology, Inc. Integrated circuit package alignment feature
US5807439A (en) 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
US6441487B2 (en) 1997-10-20 2002-08-27 Flip Chip Technologies, L.L.C. Chip scale package using large ductile solder balls
US6097087A (en) 1997-10-31 2000-08-01 Micron Technology, Inc. Semiconductor package including flex circuit, interconnects and dense array external contacts
US6222136B1 (en) 1997-11-12 2001-04-24 International Business Machines Corporation Printed circuit board with continuous connective bumps
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
EP0926723B1 (en) 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
FI982568A (en) 1997-12-02 1999-06-03 Samsung Electro Mech A method for manufacturing a multilayer printed circuit board
TW436357B (en) 1997-12-12 2001-05-28 Matsushita Electric Ind Co Ltd Laser drilling equipment and control method
US6107109A (en) 1997-12-18 2000-08-22 Micron Technology, Inc. Method for fabricating a semiconductor interconnect with laser machined electrical paths through substrate
US6620731B1 (en) 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6833613B1 (en) 1997-12-18 2004-12-21 Micron Technology, Inc. Stacked semiconductor package having laser machined contacts
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
JP3638771B2 (en) 1997-12-22 2005-04-13 沖電気工業株式会社 Semiconductor device
US6107180A (en) 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6335534B1 (en) 1998-04-17 2002-01-01 Kabushiki Kaisha Toshiba Ion implantation apparatus, ion generating apparatus and semiconductor manufacturing method with ion implantation processes
US6191487B1 (en) 1998-04-23 2001-02-20 Minco Technology Labs, Inc. Semiconductor and flip chip packages and method having a back-side connection
US6177728B1 (en) 1998-04-28 2001-01-23 International Business Machines Corporation Integrated circuit chip device having balanced thermal expansion
US6008070A (en) 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
EP1202348A3 (en) 1998-06-04 2004-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing same
US6140604A (en) 1998-06-18 2000-10-31 General Electric Company Laser drilling breakthrough detector
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
FR2781707B1 (en) 1998-07-30 2000-09-08 Snecma METHOD FOR MACHINING BY EXCIMER LASER OF HOLES OR SHAPES WITH VARIABLE PROFILE
US6324253B1 (en) 1998-08-26 2001-11-27 Yuyama Mfg. Co., Ltd. Tablet inspection apparatus
KR100269540B1 (en) 1998-08-28 2000-10-16 윤종용 Method for manufacturing chip scale packages at wafer level
US6291894B1 (en) 1998-08-31 2001-09-18 Micron Technology, Inc. Method and apparatus for a semiconductor package for vertical surface mounting
US6268114B1 (en) 1998-09-18 2001-07-31 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming fine-pitched solder bumps
US7045015B2 (en) 1998-09-30 2006-05-16 Optomec Design Company Apparatuses and method for maskless mesoscale material deposition
US6130141A (en) 1998-10-14 2000-10-10 Lucent Technologies Inc. Flip chip metallization
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6184465B1 (en) 1998-11-12 2001-02-06 Micron Technology, Inc. Semiconductor package
US6239485B1 (en) 1998-11-13 2001-05-29 Fujitsu Limited Reduced cross-talk noise high density signal interposer with power and ground wrap
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
TW442873B (en) 1999-01-14 2001-06-23 United Microelectronics Corp Three-dimension stack-type chip structure and its manufacturing method
US6107186A (en) 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6297155B1 (en) 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6277757B1 (en) 1999-06-01 2001-08-21 Winbond Electronics Corp. Methods to modify wet by dry etched via profile
US6406636B1 (en) 1999-06-02 2002-06-18 Megasense, Inc. Methods for wafer to wafer bonding using microstructures
US6388208B1 (en) 1999-06-11 2002-05-14 Teradyne, Inc. Multi-connection via with electrically isolated segments
JP3562389B2 (en) 1999-06-25 2004-09-08 三菱電機株式会社 Laser heat treatment equipment
US6228687B1 (en) 1999-06-28 2001-05-08 Micron Technology, Inc. Wafer-level package and methods of fabricating
KR100298827B1 (en) 1999-07-09 2001-11-01 윤종용 Method For Manufacturing Wafer Level Chip Scale Packages Using Redistribution Substrate
US6326689B1 (en) 1999-07-26 2001-12-04 Stmicroelectronics, Inc. Backside contact for touchchip
US6457515B1 (en) 1999-08-06 2002-10-01 The Ohio State University Two-layered micro channel heat sink, devices and systems incorporating same
KR100565961B1 (en) 1999-08-21 2006-03-30 삼성전자주식회사 Manufacturing method for three demensional stack chip package
WO2001015223A1 (en) 1999-08-23 2001-03-01 Rohm Co., Ltd. Semiconductor device and method of manufacture thereof
JP2001077496A (en) 1999-09-06 2001-03-23 Ngk Insulators Ltd Substrate for printed circuit and its manufacture
JP2001082931A (en) 1999-09-09 2001-03-30 Toshiba Corp Method and apparatus for measuring depth of hole
US6534192B1 (en) 1999-09-24 2003-03-18 Lucent Technologies Inc. Multi-purpose finish for printed wiring boards and method of manufacture of such boards
US6359254B1 (en) 1999-09-30 2002-03-19 United Technologies Corporation Method for producing shaped hole in a structure
US6886284B2 (en) 1999-10-08 2005-05-03 Identification Dynamics, Llc Firearm microstamping and micromarking insert for stamping a firearm identification code and serial number into cartridge shell casings and projectiles
US6180518B1 (en) 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
US6448106B1 (en) 1999-11-09 2002-09-10 Fujitsu Limited Modules with pins and methods for making modules with pins
EP1118421B1 (en) 1999-12-22 2005-01-05 Honda Giken Kogyo Kabushiki Kaisha Perforating machining method with laser beam
JP4774146B2 (en) 1999-12-23 2011-09-14 パナソニック株式会社 Method and apparatus for drilling holes with a pitch smaller than the wavelength using a laser
US6229202B1 (en) 2000-01-10 2001-05-08 Micron Technology, Inc. Semiconductor package having downset leadframe for reducing package bow
WO2001050945A2 (en) 2000-01-12 2001-07-19 Lasersight Technologies, Inc. Laser fluence compensation of a curved surface
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP3736607B2 (en) 2000-01-21 2006-01-18 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, circuit board, and electronic apparatus
JP3819660B2 (en) 2000-02-15 2006-09-13 株式会社日立国際電気 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6341009B1 (en) 2000-02-24 2002-01-22 Quantronix Corporation Laser delivery system and method for photolithographic mask repair
JP3677429B2 (en) 2000-03-09 2005-08-03 Necエレクトロニクス株式会社 Method of manufacturing flip chip type semiconductor device
US6433303B1 (en) 2000-03-31 2002-08-13 Matsushita Electric Industrial Co., Ltd. Method and apparatus using laser pulses to make an array of microcavity holes
JP2001298147A (en) 2000-04-18 2001-10-26 Kawasaki Steel Corp Semiconductor device and its manufacturing method
JP4979154B2 (en) 2000-06-07 2012-07-18 ルネサスエレクトロニクス株式会社 Semiconductor device
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6459039B1 (en) 2000-06-19 2002-10-01 International Business Machines Corporation Method and apparatus to manufacture an electronic package with direct wiring pattern
JP4439090B2 (en) 2000-07-26 2010-03-24 日本テキサス・インスツルメンツ株式会社 Semiconductor device and manufacturing method thereof
KR20020022122A (en) 2000-07-27 2002-03-25 크리스띠앙 쥘랭 Process for fabricating a multilevel circuitry comprising tracks and microvias
US6468889B1 (en) 2000-08-08 2002-10-22 Advanced Micro Devices, Inc. Backside contact for integrated circuit and method of forming same
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459150B1 (en) 2000-08-17 2002-10-01 Industrial Technology Research Institute Electronic substrate having an aperture position through a substrate, conductive pads, and an insulating layer
DE10042235A1 (en) 2000-08-28 2002-04-18 Infineon Technologies Ag Process for producing an electrically conductive connection
TW449813B (en) 2000-10-13 2001-08-11 Advanced Semiconductor Eng Semiconductor device with bump electrode
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
TW528889B (en) 2000-11-14 2003-04-21 Toshiba Corp Image pickup apparatus, manufacturing method thereof, and portable electric apparatus
JP3798620B2 (en) 2000-12-04 2006-07-19 富士通株式会社 Manufacturing method of semiconductor device
US6777244B2 (en) 2000-12-06 2004-08-17 Hrl Laboratories, Llc Compact sensor using microcavity structures
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6582987B2 (en) 2000-12-30 2003-06-24 Electronics And Telecommunications Research Institute Method of fabricating microchannel array structure embedded in silicon substrate
KR20020061812A (en) 2001-01-18 2002-07-25 삼성전자 주식회사 Ball grid array type multi chip package and stack package
US20020096729A1 (en) 2001-01-24 2002-07-25 Tu Hsiu Wen Stacked package structure of image sensor
DE10150334A1 (en) 2001-02-03 2003-04-24 Hassia Verpackung Ag Process and packaging machine for converting a wide, multi-layer, aseptically processed packaging material web into several, equally wide, further processable single webs
US6534863B2 (en) 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
JP2002261189A (en) 2001-03-05 2002-09-13 Murata Mfg Co Ltd Circuit chip for high frequency and method for manufacturing the same
TW475250B (en) 2001-03-14 2002-02-01 Taiwan Semiconductor Mfg ESD protection circuit to be used in high-frequency input/output port with low capacitance load
US6910268B2 (en) 2001-03-27 2005-06-28 Formfactor, Inc. Method for fabricating an IC interconnect system including an in-street integrated circuit wafer via
US6620031B2 (en) 2001-04-04 2003-09-16 Lam Research Corporation Method for optimizing the planarizing length of a polishing pad
US6593644B2 (en) 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US6867390B2 (en) 2001-04-30 2005-03-15 Lsp Technologies, Inc Automated positioning of mobile laser peening head
JP2002373957A (en) 2001-06-14 2002-12-26 Shinko Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP4053257B2 (en) 2001-06-14 2008-02-27 新光電気工業株式会社 Manufacturing method of semiconductor device
US6680459B2 (en) 2001-06-22 2004-01-20 Nippei Toyama Corporation Laser beam machining apparatus and laser beam machining method
JP4408006B2 (en) 2001-06-28 2010-02-03 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6521516B2 (en) 2001-06-29 2003-02-18 Intel Corporation Process for local on-chip cooling of semiconductor devices using buried microchannels
US6825127B2 (en) 2001-07-24 2004-11-30 Zarlink Semiconductor Inc. Micro-fluidic devices
SG118084A1 (en) 2001-08-24 2006-01-27 Micron Technology Inc Method and apparatus for cutting semiconductor wafers
KR100431260B1 (en) 2001-08-29 2004-05-12 삼성전기주식회사 Image module
US6580174B2 (en) 2001-09-28 2003-06-17 Intel Corporation Vented vias for via in pad technology yield improvements
US6774486B2 (en) 2001-10-10 2004-08-10 Micron Technology, Inc. Circuit boards containing vias and methods for producing same
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6486549B1 (en) 2001-11-10 2002-11-26 Bridge Semiconductor Corporation Semiconductor module with encapsulant base
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6599436B1 (en) 2001-12-06 2003-07-29 Sandia Corporation Formation of interconnections to microfluidic devices
US7332819B2 (en) 2002-01-09 2008-02-19 Micron Technology, Inc. Stacked die in die BGA package
US6828223B2 (en) 2001-12-14 2004-12-07 Taiwan Semiconductor Manufacturing Co. Localized slots for stress relieve in copper
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6756564B2 (en) 2001-12-31 2004-06-29 Andrx Pharmaceuticals Llc System and method for removing particulate created from a drilled or cut surface
US6724798B2 (en) 2001-12-31 2004-04-20 Honeywell International Inc. Optoelectronic devices and method of production
JP2003289073A (en) 2002-01-22 2003-10-10 Canon Inc Semiconductor device and method of manufacturing semiconductor device
DE10205026C1 (en) 2002-02-07 2003-05-28 Bosch Gmbh Robert Semiconductor substrate used for vertical integration of integrated circuits comprises a first conductor strip on its front side, and a region formed by insulating trenches and electrically insulated from the substrate
US6606251B1 (en) 2002-02-07 2003-08-12 Cooligy Inc. Power conditioning module
US6750144B2 (en) 2002-02-15 2004-06-15 Faraday Technology Marketing Group, Llc Method for electrochemical metallization and planarization of semiconductor substrates having features of different sizes
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6864457B1 (en) 2002-02-25 2005-03-08 The Board Of Regents Of The University Of Nebraska Laser machining of materials
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
JP4110390B2 (en) 2002-03-19 2008-07-02 セイコーエプソン株式会社 Manufacturing method of semiconductor device
WO2003079430A1 (en) 2002-03-19 2003-09-25 Seiko Epson Corporation Semiconductor device and its manufacturing method, circuit board and electronic apparatus
US6653236B2 (en) 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
EP1351288B1 (en) 2002-04-05 2015-10-28 STMicroelectronics Srl Process for manufacturing an insulated interconnection through a body of semiconductor material and corresponding semiconductor device
US6943056B2 (en) 2002-04-16 2005-09-13 Renesas Technology Corp. Semiconductor device manufacturing method and electronic equipment using same
JP2003318178A (en) 2002-04-24 2003-11-07 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board, and electronic apparatus
US6951627B2 (en) 2002-04-26 2005-10-04 Matsushita Electric Industrial Co., Ltd. Method of drilling holes with precision laser micromachining
US6682955B2 (en) 2002-05-08 2004-01-27 Micron Technology, Inc. Stacked die module and techniques for forming a stacked die module
US6596619B1 (en) 2002-05-17 2003-07-22 Taiwan Semiconductor Manufacturing Company Method for fabricating an under bump metallization structure
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7166247B2 (en) 2002-06-24 2007-01-23 Micron Technology, Inc. Foamed mechanical planarization pads made with supercritical fluid
US7260890B2 (en) 2002-06-26 2007-08-28 Georgia Tech Research Corporation Methods for fabricating three-dimensional all organic interconnect structures
JP4363823B2 (en) 2002-07-04 2009-11-11 富士通マイクロエレクトロニクス株式会社 Semiconductor device mounting system
US6621045B1 (en) 2002-07-25 2003-09-16 Matsushita Electric Industrial Co., Ltd. Workpiece stabilization with gas flow
US6716737B2 (en) 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6821811B2 (en) 2002-08-02 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Organic thin film transistor and method of manufacturing the same, and semiconductor device having the organic thin film transistor
US6593221B1 (en) 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
US6815308B2 (en) 2002-08-15 2004-11-09 Micron Technology, Inc. Use of a dual-tone resist to form photomasks including alignment mark protection, intermediate semiconductor device structures and bulk semiconductor device substrates
KR20040017037A (en) 2002-08-20 2004-02-26 삼성전자주식회사 Semiconductor contact structure and method of forming the same
US20040036170A1 (en) 2002-08-20 2004-02-26 Lee Teck Kheng Double bumping of flexible substrate for first and second level interconnects
US6903442B2 (en) 2002-08-29 2005-06-07 Micron Technology, Inc. Semiconductor component having backside pin contacts
US6885107B2 (en) 2002-08-29 2005-04-26 Micron Technology, Inc. Flip-chip image sensor packages and methods of fabrication
US7030010B2 (en) 2002-08-29 2006-04-18 Micron Technology, Inc. Methods for creating electrophoretically insulated vias in semiconductive substrates and resulting structures
JP2004095849A (en) 2002-08-30 2004-03-25 Fujikura Ltd Method for manufacturing semiconductor substrate with through electrode, and method for manufacturing semiconductor device with through electrode
KR20040026530A (en) 2002-09-25 2004-03-31 삼성전자주식회사 Semiconductor package and stack package using the same
US20040073607A1 (en) 2002-09-25 2004-04-15 Su Chi Chung Multimedia messaging system and method
US6569777B1 (en) 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
US6936536B2 (en) 2002-10-09 2005-08-30 Micron Technology, Inc. Methods of forming conductive through-wafer vias
US6660630B1 (en) 2002-10-10 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming a tapered dual damascene via portion with improved performance
TWI227050B (en) 2002-10-11 2005-01-21 Sanyo Electric Co Semiconductor device and method for manufacturing the same
SG111972A1 (en) 2002-10-17 2005-06-29 Agency Science Tech & Res Wafer-level package for micro-electro-mechanical systems
US7566681B2 (en) 2002-10-29 2009-07-28 National Research Council Of Canada Platinum based nano-size catalysts
US20050236421A9 (en) 2003-01-23 2005-10-27 Vasilios Vasiadis Device for handling and orienting pills or tablets in a precise manner
US6790775B2 (en) 2002-10-31 2004-09-14 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
KR100444588B1 (en) 2002-11-12 2004-08-16 삼성전자주식회사 Fabrication of via hole for glass wafer
US20040094389A1 (en) 2002-11-19 2004-05-20 Boyce Keith W. Conveyor having carriers with movable jaws
JP4209178B2 (en) 2002-11-26 2009-01-14 新光電気工業株式会社 Electronic component mounting structure and manufacturing method thereof
US7164565B2 (en) 2002-11-29 2007-01-16 Sigmatel, Inc. ESD protection circuit
US6746971B1 (en) 2002-12-05 2004-06-08 Advanced Micro Devices, Inc. Method of forming copper sulfide for memory cell
KR100482180B1 (en) 2002-12-16 2005-04-14 동부아남반도체 주식회사 Fabricating method of semiconductor device
US6825557B2 (en) 2002-12-17 2004-11-30 Intel Corporation Localized backside chip cooling with integrated smart valves
JP4071615B2 (en) 2002-12-20 2008-04-02 株式会社フジクラ Method for forming through electrode and substrate with through electrode
KR20050096113A (en) 2002-12-30 2005-10-05 허니웰 인터내셔날 인코포레이티드 Organic compositions
KR100621991B1 (en) 2003-01-03 2006-09-13 삼성전자주식회사 Chip scale stack package
US20040219342A1 (en) 2003-01-07 2004-11-04 Boggs David W. Electronic substrate with direct inner layer component interconnection
JP4322508B2 (en) 2003-01-15 2009-09-02 新光電気工業株式会社 Manufacturing method of semiconductor device
JP2004221348A (en) 2003-01-15 2004-08-05 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board and electronic apparatus
JP2004228392A (en) 2003-01-24 2004-08-12 Seiko Epson Corp Manufacturing method of semiconductor device and manufacturing method of semiconductor module
US7023090B2 (en) 2003-01-29 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad and via structure design
US7195700B2 (en) 2003-01-30 2007-03-27 Novellus Systems, Inc. Method of electroplating copper layers with flat topography
JP2004247530A (en) 2003-02-14 2004-09-02 Renesas Technology Corp Semiconductor device and manufacturing method thereof
JP4118168B2 (en) 2003-02-28 2008-07-16 株式会社ニデック Ophthalmic laser surgery device
US7176122B2 (en) 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
DE10312588B4 (en) 2003-03-21 2013-09-19 Robert Bosch Gmbh Procedure for tank leak diagnosis
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
JP3972846B2 (en) 2003-03-25 2007-09-05 セイコーエプソン株式会社 Manufacturing method of semiconductor device
KR100497111B1 (en) 2003-03-25 2005-06-28 삼성전자주식회사 WL CSP, stack package stacking the same and manufacturing method thereof
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
DE10314502B4 (en) 2003-03-31 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Process for the electrolytic coating of a semiconductor structure
JP3800335B2 (en) 2003-04-16 2006-07-26 セイコーエプソン株式会社 Optical device, optical module, semiconductor device, and electronic apparatus
TWI229890B (en) 2003-04-24 2005-03-21 Sanyo Electric Co Semiconductor device and method of manufacturing same
US20040222082A1 (en) 2003-05-05 2004-11-11 Applied Materials, Inc. Oblique ion milling of via metallization
WO2004109770A2 (en) 2003-06-05 2004-12-16 Oticon A/S Through wafer via process and amplifier with through wafer via
US7007258B2 (en) 2003-06-13 2006-02-28 Sun Microsystems, Inc. Method, apparatus, and computer program product for generation of a via array within a fill area of a design layout
US7041598B2 (en) 2003-06-25 2006-05-09 Hewlett-Packard Development Company, L.P. Directional ion etching process for patterning self-aligned via contacts
JP4175197B2 (en) 2003-06-27 2008-11-05 株式会社デンソー Flip chip mounting structure
US6913952B2 (en) 2003-07-03 2005-07-05 Micron Technology, Inc. Methods of forming circuit traces and contact pads for interposers utilized in semiconductor packages
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
JP2005051150A (en) 2003-07-31 2005-02-24 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board, and electronic apparatus
JP3690407B2 (en) 2003-07-31 2005-08-31 セイコーエプソン株式会社 Manufacturing method of semiconductor device
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7060624B2 (en) 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
WO2005022965A2 (en) 2003-08-29 2005-03-10 Thermalworks, Inc. Expansion constrained die stack
JP2005093980A (en) 2003-09-16 2005-04-07 Irvine Sensors Corp Stackable layer, mini stack, and laminated electronic module
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
US7118833B2 (en) 2003-09-26 2006-10-10 Flipchip International, Llc Forming partial-depth features in polymer film
US6821826B1 (en) 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US7402758B2 (en) 2003-10-09 2008-07-22 Qualcomm Incorporated Telescoping blind via in three-layer core
US7449067B2 (en) 2003-11-03 2008-11-11 International Business Machines Corporation Method and apparatus for filling vias
TWI228295B (en) 2003-11-10 2005-02-21 Shih-Hsien Tseng IC structure and a manufacturing method
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US6949802B2 (en) 2003-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. ESD protection structure
US7583862B2 (en) 2003-11-26 2009-09-01 Aptina Imaging Corporation Packaged microelectronic imagers and methods of packaging microelectronic imagers
JP3990347B2 (en) 2003-12-04 2007-10-10 ローム株式会社 Semiconductor chip, manufacturing method thereof, and semiconductor device
US7183653B2 (en) 2003-12-17 2007-02-27 Intel Corporation Via including multiple electrical paths
US7211289B2 (en) 2003-12-18 2007-05-01 Endicott Interconnect Technologies, Inc. Method of making multilayered printed circuit board with filled conductive holes
KR20050065038A (en) 2003-12-24 2005-06-29 삼성전기주식회사 Printed circuit board and package having oblique via
US7098544B2 (en) 2004-01-06 2006-08-29 International Business Machines Corporation Edge seal for integrated circuit chips
US7316063B2 (en) 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
TWI233170B (en) 2004-02-05 2005-05-21 United Microelectronics Corp Ultra-thin wafer level stack packaging method and structure using thereof
JP4850392B2 (en) 2004-02-17 2012-01-11 三洋電機株式会社 Manufacturing method of semiconductor device
US7253397B2 (en) 2004-02-23 2007-08-07 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US6967282B2 (en) 2004-03-05 2005-11-22 Raytheon Company Flip chip MMIC on board performance using periodic electromagnetic bandgap structures
US7354863B2 (en) 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
US20050227382A1 (en) 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US7245021B2 (en) 2004-04-13 2007-07-17 Vertical Circuits, Inc. Micropede stacked die component assembly
US7632713B2 (en) 2004-04-27 2009-12-15 Aptina Imaging Corporation Methods of packaging microelectronic imaging devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US8092734B2 (en) 2004-05-13 2012-01-10 Aptina Imaging Corporation Covers for microelectronic imagers and methods for wafer-level packaging of microelectronics imagers
US7253957B2 (en) 2004-05-13 2007-08-07 Micron Technology, Inc. Integrated optics units and methods of manufacturing integrated optics units for use with microelectronic imagers
US7235489B2 (en) 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
JP4955935B2 (en) 2004-05-25 2012-06-20 キヤノン株式会社 Through-hole forming method and semiconductor device manufacturing method
US7192845B2 (en) 2004-06-08 2007-03-20 Macronix International Co., Ltd. Method of reducing alignment measurement errors between device layers
US20050275750A1 (en) 2004-06-09 2005-12-15 Salman Akram Wafer-level packaged microelectronic imagers and processes for wafer-level packaging
US7498647B2 (en) 2004-06-10 2009-03-03 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US7262405B2 (en) 2004-06-14 2007-08-28 Micron Technology, Inc. Prefabricated housings for microelectronic imagers
US7199439B2 (en) 2004-06-14 2007-04-03 Micron Technology, Inc. Microelectronic imagers and methods of packaging microelectronic imagers
KR100618543B1 (en) 2004-06-15 2006-08-31 삼성전자주식회사 Method for manufacturing CSP for wafer level stack package
US7709958B2 (en) 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
KR100570514B1 (en) 2004-06-18 2006-04-13 삼성전자주식회사 Manufacturing method for wafer level chip stack package
KR100618837B1 (en) 2004-06-22 2006-09-01 삼성전자주식회사 Method for forming thin wafer stack for wafer level package
US7033927B2 (en) 2004-06-22 2006-04-25 International Business Machines Corporation Apparatus and method for thermal isolation, circuit cooling and electromagnetic shielding of a wafer
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7294897B2 (en) 2004-06-29 2007-11-13 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US20060003566A1 (en) 2004-06-30 2006-01-05 Ismail Emesh Methods and apparatuses for semiconductor fabrication utilizing through-wafer interconnects
US7416913B2 (en) 2004-07-16 2008-08-26 Micron Technology, Inc. Methods of manufacturing microelectronic imaging units with discrete standoffs
US7189954B2 (en) 2004-07-19 2007-03-13 Micron Technology, Inc. Microelectronic imagers with optical devices and methods of manufacturing such microelectronic imagers
US7402453B2 (en) 2004-07-28 2008-07-22 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US20060023107A1 (en) 2004-08-02 2006-02-02 Bolken Todd O Microelectronic imagers with optics supports having threadless interfaces and methods for manufacturing such microelectronic imagers
US7186650B1 (en) 2004-08-02 2007-03-06 Advanced Micro Devices, Inc. Control of bottom dimension of tapered contact via variation(s) of etch process
US7364934B2 (en) 2004-08-10 2008-04-29 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US7645635B2 (en) 2004-08-16 2010-01-12 Micron Technology, Inc. Frame structure and semiconductor attach process for use therewith for fabrication of image sensor packages and the like, and resulting packages
US20060038272A1 (en) 2004-08-17 2006-02-23 Texas Instruments Incorporated Stacked wafer scale package
US7223626B2 (en) 2004-08-19 2007-05-29 Micron Technology, Inc. Spacers for packaged microelectronic imagers and methods of making and using spacers for wafer-level packaging of imagers
US7397066B2 (en) 2004-08-19 2008-07-08 Micron Technology, Inc. Microelectronic imagers with curved image sensors and methods for manufacturing microelectronic imagers
US7632747B2 (en) 2004-08-19 2009-12-15 Micron Technology, Inc. Conductive structures for microfeature devices and methods for fabricating microfeature devices
US7092284B2 (en) 2004-08-20 2006-08-15 Infineon Technologies Ag MRAM with magnetic via for storage of information and field sensor
US7425499B2 (en) 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
US7115961B2 (en) 2004-08-24 2006-10-03 Micron Technology, Inc. Packaged microelectronic imaging devices and methods of packaging microelectronic imaging devices
US7429494B2 (en) 2004-08-24 2008-09-30 Micron Technology, Inc. Microelectronic imagers with optical devices having integral reference features and methods for manufacturing such microelectronic imagers
US7183176B2 (en) 2004-08-25 2007-02-27 Agency For Science, Technology And Research Method of forming through-wafer interconnects for vertical wafer level packaging
US7276393B2 (en) 2004-08-26 2007-10-02 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US7378342B2 (en) 2004-08-27 2008-05-27 Micron Technology, Inc. Methods for forming vias varying lateral dimensions
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7419852B2 (en) 2004-08-27 2008-09-02 Micron Technology, Inc. Low temperature methods of forming back side redistribution layers in association with through wafer interconnects, semiconductor devices including same, and assemblies
US7511262B2 (en) 2004-08-30 2009-03-31 Micron Technology, Inc. Optical device and assembly for use with imaging dies, and wafer-label imager assembly
US7129567B2 (en) 2004-08-31 2006-10-31 Micron Technology, Inc. Substrate, semiconductor die, multichip module, and system including a via structure comprising a plurality of conductive elements
US7646075B2 (en) 2004-08-31 2010-01-12 Micron Technology, Inc. Microelectronic imagers having front side contacts
US7109068B2 (en) 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7244663B2 (en) 2004-08-31 2007-07-17 Micron Technology, Inc. Wafer reinforcement structure and methods of fabrication
US7491582B2 (en) 2004-08-31 2009-02-17 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US7326629B2 (en) 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
TWI254387B (en) 2004-09-10 2006-05-01 Advanced Semiconductor Eng Wafer stacking package method
JP4246132B2 (en) 2004-10-04 2009-04-02 シャープ株式会社 Semiconductor device and manufacturing method thereof
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
WO2006053036A2 (en) 2004-11-10 2006-05-18 Unitive International Limited Non-circular via holes for bumping pads and related structures
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7387855B2 (en) 2005-01-10 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Anti-ESD photomask blank
US7282433B2 (en) 2005-01-10 2007-10-16 Micron Technology, Inc. Interconnect structures with bond-pads and methods of forming bump sites on bond-pads
US20060160367A1 (en) 2005-01-19 2006-07-20 Micron Technology, Inc. And Idaho Research Foundation Methods of treating semiconductor substrates
US20060177999A1 (en) 2005-02-10 2006-08-10 Micron Technology, Inc. Microelectronic workpieces and methods for forming interconnects in microelectronic workpieces
US7303931B2 (en) 2005-02-10 2007-12-04 Micron Technology, Inc. Microfeature workpieces having microlenses and methods of forming microlenses on microfeature workpieces
US7190039B2 (en) 2005-02-18 2007-03-13 Micron Technology, Inc. Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US8241708B2 (en) 2005-03-09 2012-08-14 Micron Technology, Inc. Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
US7323784B2 (en) 2005-03-17 2008-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Top via pattern for bond pad structure
US7371676B2 (en) 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US20060252262A1 (en) 2005-05-03 2006-11-09 Rockwell Scientific Licensing, Llc Semiconductor structures having via structures between planar frontside and backside surfaces and methods of fabricating the same
US20060252254A1 (en) 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
KR100611204B1 (en) 2005-05-10 2006-08-10 삼성전자주식회사 Multi stack packaging chip and method thereof
WO2006124597A2 (en) 2005-05-12 2006-11-23 Foster Ron B Infinitely stackable interconnect device and method
US7170183B1 (en) 2005-05-13 2007-01-30 Amkor Technology, Inc. Wafer level stacked package
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US20060278979A1 (en) 2005-06-09 2006-12-14 Intel Corporation Die stacking recessed pad wafer design
US7534722B2 (en) 2005-06-14 2009-05-19 John Trezza Back-to-front via process
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US20060278966A1 (en) 2005-06-14 2006-12-14 John Trezza Contact-based encapsulation
US7510907B2 (en) 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
US20060290001A1 (en) 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US20070004079A1 (en) 2005-06-30 2007-01-04 Geefay Frank S Method for making contact through via contact to an offset contactor inside a cap for the wafer level packaging of FBAR chips
KR100629498B1 (en) 2005-07-15 2006-09-28 삼성전자주식회사 The micro package, multi-stack micro package and the method of manufacturing thereof
US7598181B2 (en) 2005-07-19 2009-10-06 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7622313B2 (en) 2005-07-29 2009-11-24 Freescale Semiconductor, Inc. Fabrication of three dimensional integrated circuit employing multiple die panels
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7488680B2 (en) 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7845540B2 (en) 2005-08-30 2010-12-07 Micron Technology, Inc. Systems and methods for depositing conductive material into openings in microfeature workpieces
US7326591B2 (en) 2005-08-31 2008-02-05 Micron Technology, Inc. Interconnecting substrates for microelectronic dies, methods for forming vias in such substrates, and methods for packaging microelectronic devices
US8308053B2 (en) 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US20070045812A1 (en) 2005-08-31 2007-03-01 Micron Technology, Inc. Microfeature assemblies including interconnect structures and methods for forming such interconnect structures
DE102005042074A1 (en) 2005-08-31 2007-03-08 Forschungsverbund Berlin E.V. Method for producing plated-through holes in semiconductor wafers
US7582561B2 (en) 2005-09-01 2009-09-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US7452743B2 (en) 2005-09-01 2008-11-18 Aptina Imaging Corporation Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level
US7622377B2 (en) 2005-09-01 2009-11-24 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7288757B2 (en) 2005-09-01 2007-10-30 Micron Technology, Inc. Microelectronic imaging devices and associated methods for attaching transmissive elements
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070045120A1 (en) 2005-09-01 2007-03-01 Micron Technology, Inc. Methods and apparatus for filling features in microfeature workpieces
US7772115B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7852101B2 (en) 2005-09-07 2010-12-14 Nec Corporation Semiconductor device testing apparatus and power supply unit for semiconductor device testing apparatus
JP2009512213A (en) 2005-10-11 2009-03-19 ボク,タエソック Simoth image sensor wafer level package using silicon via contact and method of manufacturing the same
JP2007109758A (en) 2005-10-12 2007-04-26 Mitsubishi Electric Corp Method of manufacturing compound semiconductor element
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7393758B2 (en) 2005-11-03 2008-07-01 Maxim Integrated Products, Inc. Wafer level packaging process
US7344917B2 (en) 2005-11-30 2008-03-18 Freescale Semiconductor, Inc. Method for packaging a semiconductor device
US7378726B2 (en) 2005-12-28 2008-05-27 Intel Corporation Stacked packages with interconnecting pins
US7417321B2 (en) 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same
US7626257B2 (en) 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7671460B2 (en) 2006-01-25 2010-03-02 Teledyne Licensing, Llc Buried via technology for three dimensional integrated circuits
KR100753415B1 (en) 2006-03-17 2007-08-30 주식회사 하이닉스반도체 Stack package
US7453154B2 (en) 2006-03-29 2008-11-18 Delphi Technologies, Inc. Carbon nanotube via interconnect
US7625814B2 (en) 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US20080006850A1 (en) 2006-07-10 2008-01-10 Innovative Micro Technology System and method for forming through wafer vias using reverse pulse plating
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7648856B2 (en) 2006-08-28 2010-01-19 Micron Technology, Inc. Methods for attaching microfeature dies to external devices
US8021981B2 (en) 2006-08-30 2011-09-20 Micron Technology, Inc. Redistribution layers for microfeature workpieces, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7666768B2 (en) 2006-09-29 2010-02-23 Intel Corporation Through-die metal vias with a dispersed phase of graphitic structures of carbon for reduced thermal expansion and increased electrical conductance
KR100800161B1 (en) 2006-09-30 2008-02-01 주식회사 하이닉스반도체 Method for forming through silicon via
KR100831405B1 (en) 2006-10-02 2008-05-21 (주) 파이오닉스 Wafer bonding packaging method
US7675162B2 (en) 2006-10-03 2010-03-09 Innovative Micro Technology Interconnect structure using through wafer vias and method of fabrication
US7932175B2 (en) 2007-05-29 2011-04-26 Freescale Semiconductor, Inc. Method to form a via
US8003517B2 (en) 2007-05-29 2011-08-23 Freescale Semiconductor, Inc. Method for forming interconnects for 3-D applications
KR100895813B1 (en) 2007-06-20 2009-05-06 주식회사 하이닉스반도체 Method for fabricating of semiconductor package
US8221557B2 (en) 2007-07-06 2012-07-17 Micron Technology, Inc. Systems and methods for exposing semiconductor workpieces to vapors for through-hole cleaning and/or other processes
SG149710A1 (en) 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
KR101448150B1 (en) 2007-10-04 2014-10-08 삼성전자주식회사 Multi-chip package memory stacked memory chips, method for stacking memory and method for controlling operation of multi-chip package memory
KR101176187B1 (en) 2007-11-21 2012-08-22 삼성전자주식회사 Stacked semiconductor device and method for thereof serial path build up
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
KR101420817B1 (en) 2008-01-15 2014-07-21 삼성전자주식회사 Semiconductor Integrated Circuit Device Electrically Connecting Integrated Circuit Modules Stacked Sequentially With 3-Dimensional Serial And Parallel Circuits And Method Of Forming The Same
US8486823B2 (en) 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7800238B2 (en) 2008-06-27 2010-09-21 Micron Technology, Inc. Surface depressions for die-to-die interconnects and associated systems and methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US20020094607A1 (en) * 2001-01-16 2002-07-18 Uta Gebauer Electronic component with stacked semiconductor chips and method of producing the component
JP2005310817A (en) * 2004-04-16 2005-11-04 Seiko Epson Corp Method of manufacturing semiconductor device, circuit board, and electronic apparatus
US20060043599A1 (en) * 2004-09-02 2006-03-02 Salman Akram Through-wafer interconnects for photoimager and memory wafers

Also Published As

Publication number Publication date
US9570350B2 (en) 2017-02-14
TW200826245A (en) 2008-06-16
WO2008027709A2 (en) 2008-03-06
US9099539B2 (en) 2015-08-04
TWI368972B (en) 2012-07-21
US20110151621A1 (en) 2011-06-23
US7902643B2 (en) 2011-03-08
KR101186712B1 (en) 2012-09-27
US20150303110A1 (en) 2015-10-22
US20080054444A1 (en) 2008-03-06
KR20090051245A (en) 2009-05-21

Similar Documents

Publication Publication Date Title
WO2008027709A3 (en) Microelectronic device having interconnects and conductive backplanes
TW200616128A (en) Semiconductor device and process for manufacturing the same and kit
WO2008066894A3 (en) Substrate for a flexible microelectronic assembly
TW200640325A (en) Wiring board manufacturing method
TW200721432A (en) Semiconductor device, fabrication method therefor, and film fabrication method
WO2009017835A3 (en) Semiconductor packaging process using through silicon vias
TW200715514A (en) Semiconductor chip, display panel using the same, and methods of manufacturing semiconductor chip and display panel using the same
TW200802790A (en) Electronic substrate, semiconductor device, and electronic device
WO2007027417A3 (en) Microfeature assemblies including interconnect structures and methods for forming such interconnect structures
TWI260056B (en) Module structure having an embedded chip
WO2008108970A3 (en) Chips having rear contacts connected by through vias to front contacts
TW200741829A (en) Methods of forming through-wafer interconnects and structures resulting therefrom
EP1777740A3 (en) Semiconductor device and manufacturing method of the same
MXPA06000842A (en) Circuit board with embedded components and method of manufacture.
TW200737383A (en) Substrate with built-in chip and method for manufacturing substrate with built-in chip
TW200507120A (en) Methods of selectively bumping integrated circuit substrates and related structures
WO2008051596A3 (en) Solid state light sheet and encapsulated bare die semiconductor circuits
EP1889285A4 (en) Backside method and system for fabricating semiconductor components with conductive interconnects
TW200739972A (en) Light-emitting device and method for manufacturing the same
SG170099A1 (en) Integrated circuit package system with warp-free chip
TW200629662A (en) Electronic device package and electronic equipment
TW200642019A (en) Flexible printed wiring board, method for fabricating flexible printed wiring board, and semiconductor device
TW200742518A (en) Flexible printed circuit board and method for manufacturing the same
WO2007041155A3 (en) Microelectronic package having multiple conductive paths through an opening in a support substrate
TW200746330A (en) Microelectronic assembly with back side metallization and method for forming the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07800066

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

WWE Wipo information: entry into national phase

Ref document number: 1020097006627

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07800066

Country of ref document: EP

Kind code of ref document: A2