WO2008077100A3 - Systems and methods for creating inspection recipes - Google Patents

Systems and methods for creating inspection recipes Download PDF

Info

Publication number
WO2008077100A3
WO2008077100A3 PCT/US2007/088129 US2007088129W WO2008077100A3 WO 2008077100 A3 WO2008077100 A3 WO 2008077100A3 US 2007088129 W US2007088129 W US 2007088129W WO 2008077100 A3 WO2008077100 A3 WO 2008077100A3
Authority
WO
WIPO (PCT)
Prior art keywords
design
systems
methods
creating
inspection
Prior art date
Application number
PCT/US2007/088129
Other languages
French (fr)
Other versions
WO2008077100A2 (en
Inventor
Brian Duffy
Ashok Kulkarni
Original Assignee
Kla-Tencor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla-Tencor Corporation filed Critical Kla-Tencor Corporation
Priority to JP2009543184A priority Critical patent/JP5427609B2/en
Publication of WO2008077100A2 publication Critical patent/WO2008077100A2/en
Priority to IL198416A priority patent/IL198416A0/en
Publication of WO2008077100A3 publication Critical patent/WO2008077100A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure

Abstract

Systems and methods for creating inspection recipes are provided. One computer-implemented method for creating an inspection recipe includes acquiring a first design and one or more characteristics of output of an inspection system for a wafer on which the first design is printed using a manufacturing process. The method also includes creating an inspection recipe for a second design using the first design and the one or more characteristics of the output acquired for the wafer on which the first design is printed. The first and second designs are different. The inspection recipe will be used for inspecting wafers after the second design is printed on the wafers using the manufacturing process.
PCT/US2007/088129 2006-12-19 2007-12-19 Systems and methods for creating inspection recipes WO2008077100A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009543184A JP5427609B2 (en) 2006-12-19 2007-12-19 Inspection recipe creation system and method
IL198416A IL198416A0 (en) 2006-12-19 2009-04-27 Systems and methods for creating inspection recipes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US87072406P 2006-12-19 2006-12-19
US60/870,724 2006-12-19

Publications (2)

Publication Number Publication Date
WO2008077100A2 WO2008077100A2 (en) 2008-06-26
WO2008077100A3 true WO2008077100A3 (en) 2009-09-24

Family

ID=39402574

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/088129 WO2008077100A2 (en) 2006-12-19 2007-12-19 Systems and methods for creating inspection recipes

Country Status (4)

Country Link
US (1) US7877722B2 (en)
JP (2) JP5427609B2 (en)
IL (1) IL198416A0 (en)
WO (1) WO2008077100A2 (en)

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1982160A4 (en) 2006-02-09 2016-02-17 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
CN101657756A (en) * 2007-01-29 2010-02-24 凸版光掩膜公司 Method and system for dispositioning defects in a photomask
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
WO2009026358A1 (en) * 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
DE102007047933B3 (en) * 2007-12-20 2009-02-26 Vistec Semiconductor Systems Gmbh Semiconductor wafer surface e.g. front side or rear side, inspecting method for detecting defects on wafer surface, involves processing parameter or type of image receiving for area fixed on surface with detection sensitivity of area
US7793238B1 (en) * 2008-03-24 2010-09-07 Xilinx, Inc. Method and apparatus for improving a circuit layout using a hierarchical layout description
US8572085B2 (en) * 2008-05-19 2013-10-29 Technion Research & Development Foundation Limited Apparatus and method for incremental physical data clustering
WO2009155502A2 (en) 2008-06-19 2009-12-23 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
WO2009153793A1 (en) * 2008-06-20 2009-12-23 Technion Research & Development Foundation Ltd. Incremental clustering of indexed xml data
KR101841897B1 (en) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8400539B2 (en) * 2008-11-12 2013-03-19 Bae Systems Information And Electronic Systems Integration Inc. High density composite focal plane array
EP2394295A2 (en) 2009-02-06 2011-12-14 KLA-Tencor Corporation Selecting one or more parameters for inspection of a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US9768082B2 (en) * 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US9141275B2 (en) * 2009-02-17 2015-09-22 Hewlett-Packard Development Company, L.P. Rendering object icons associated with a first object icon upon detecting fingers moving apart
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8627264B1 (en) * 2009-05-29 2014-01-07 Altera Corporation Automated verification of transformational operations on a photomask representation
US8759762B2 (en) * 2009-06-11 2014-06-24 Hermes Microvision, Inc. Method and apparatus for identifying plug-to-plug short from a charged particle microscopic image
US8296695B1 (en) 2010-06-11 2012-10-23 Altera Corporation Method and apparatus for performing fast incremental resynthesis
US8315453B2 (en) * 2010-07-27 2012-11-20 Applied Materials Israel, Ltd. Defect classification with optimized purity
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US9780004B2 (en) 2011-03-25 2017-10-03 Kla-Tencor Corporation Methods and apparatus for optimization of inspection speed by generation of stage speed profile and selection of care areas for automated wafer inspection
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9141730B2 (en) 2011-09-12 2015-09-22 Applied Materials Israel, Ltd. Method of generating a recipe for a manufacturing tool and system thereof
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9147102B2 (en) * 2012-01-02 2015-09-29 Camtek Ltd. Method and system for measuring bumps based on phase and amplitude information
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9401013B2 (en) * 2012-02-03 2016-07-26 Applied Materials Israel, Ltd. Method of design-based defect classification and system thereof
US8855399B2 (en) * 2012-02-07 2014-10-07 Applied Materials Israel, Ltd. System, a method and a computer program product for CAD-based registration
US20130252350A1 (en) * 2012-03-26 2013-09-26 Globalfoundries Singapore Pte. Ltd. System and method for generating care areas for defect inspection
US10043264B2 (en) 2012-04-19 2018-08-07 Applied Materials Israel Ltd. Integration of automatic and manual defect classification
US9715723B2 (en) 2012-04-19 2017-07-25 Applied Materials Israel Ltd Optimization of unknown defect rejection for automatic defect classification
US8826200B2 (en) * 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9367911B2 (en) * 2012-06-13 2016-06-14 Applied Materials Israel, Ltd. Apparatus and method for defect detection including patch-to-patch comparisons
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) * 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9235885B2 (en) * 2013-01-31 2016-01-12 Applied Materials Israel Ltd System, a method and a computer program product for patch-based defect detection
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
KR20140125488A (en) * 2013-04-19 2014-10-29 한국전자통신연구원 Method and apparatus for providing context awareness based network in smart ubiquitous networks
US9430824B2 (en) 2013-05-14 2016-08-30 Kla-Tencor Corporation Machine learning method and apparatus for inspecting reticles
US9183624B2 (en) 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US10114368B2 (en) 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
TWI483216B (en) * 2013-08-16 2015-05-01 Nat Univ Tsing Hua Analytic system of wafer bin map and analytic method thereof
US9553033B2 (en) * 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US10185799B2 (en) * 2014-04-22 2019-01-22 Mentor Graphics Corporation Verification of photonic integrated circuits
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US10514685B2 (en) * 2014-06-13 2019-12-24 KLA—Tencor Corp. Automatic recipe stability monitoring and reporting
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
US9286675B1 (en) 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
CN104503202B (en) * 2014-12-25 2018-10-16 上海华虹宏力半导体制造有限公司 The differentiating method of design iterations unit
WO2016117103A1 (en) * 2015-01-23 2016-07-28 株式会社 日立ハイテクノロジーズ Recipe creation device for use in semiconductor measurement device or semiconductor inspection device
US9891538B2 (en) 2015-03-16 2018-02-13 Kla-Tencor Corp. Adaptive sampling for process window determination
US10012689B2 (en) 2015-03-25 2018-07-03 Applied Materials Israel Ltd. Method of inspecting a specimen and system thereof
NL2016614A (en) * 2015-05-07 2016-11-10 Asml Netherlands Bv Metrology method and apparatus, computer program and lithographic system.
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US10387601B2 (en) * 2015-11-26 2019-08-20 Kla-Tencor Corporation Methods to store dynamic layer content inside a design file
US9965848B2 (en) 2015-12-23 2018-05-08 Kla-Tencor Corporation Shape based grouping
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10127651B2 (en) * 2016-01-15 2018-11-13 Kla-Tencor Corporation Defect sensitivity of semiconductor wafer inspectors using design data with wafer image data
JP2017134596A (en) * 2016-01-27 2017-08-03 株式会社東芝 Image processing method and process simulation device
US10339262B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation System and method for defining care areas in repeating structures of design data
JP6537992B2 (en) * 2016-03-30 2019-07-03 東京エレクトロン株式会社 Substrate processing apparatus, control method for substrate processing apparatus, and substrate processing system
US10146036B2 (en) 2016-06-07 2018-12-04 Globalfoundries Inc. Semiconductor wafer inspection using care area group-specific threshold settings for detecting defects
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10902576B2 (en) * 2016-08-12 2021-01-26 Texas Instruments Incorporated System and method for electronic die inking after automatic visual defect inspection
US11681279B2 (en) 2016-08-15 2023-06-20 Asml Netherlands B.V. Method for enhancing the semiconductor manufacturing yield
KR102293144B1 (en) * 2016-09-01 2021-08-26 에이에스엠엘 네델란즈 비.브이. Automatic selection of measurement target measurement recipes
US10190991B2 (en) 2016-11-03 2019-01-29 Applied Materials Israel Ltd. Method for adaptive sampling in examining an object and system thereof
US10706522B2 (en) 2016-11-08 2020-07-07 Kla-Tencor Corporation System and method for generation of wafer inspection critical areas
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
US11237119B2 (en) * 2017-01-10 2022-02-01 Kla-Tencor Corporation Diagnostic methods for the classifiers and the defects captured by optical tools
US10692690B2 (en) 2017-03-27 2020-06-23 Kla-Tencor Corporation Care areas for improved electron beam defect detection
JP2018180875A (en) * 2017-04-12 2018-11-15 富士通株式会社 Determination device, determination method and determination program
US20180300872A1 (en) * 2017-04-12 2018-10-18 Ngr Inc. Method And Apparatus For Integrated Circuit Pattern Inspection With Automatically Set Inspection Areas
JP6819451B2 (en) * 2017-05-08 2021-01-27 信越化学工業株式会社 Large synthetic quartz glass substrate and its evaluation method and manufacturing method
US11295432B2 (en) * 2017-06-29 2022-04-05 Kla-Tencor Corporation Broad band plasma inspection based on a nuisance map
US10551827B2 (en) 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
US10290087B2 (en) * 2017-09-11 2019-05-14 Applied Materials Israel Ltd. Method of generating an examination recipe and system thereof
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
WO2020011648A1 (en) * 2018-07-13 2020-01-16 Asml Netherlands B.V. Pattern grouping method based on machine learning
US10867108B2 (en) 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
CN109543216B (en) * 2018-10-16 2023-02-10 华南理工大学 Segment beam prefabricated line shape control method based on stub matching method
US10832396B2 (en) 2018-10-19 2020-11-10 Kla-Tencor Corp. And noise based care areas
US10853932B2 (en) * 2019-01-16 2020-12-01 Applied Material Israel, Ltd. Method of defect detection on a specimen and system thereof
US10957034B2 (en) * 2019-01-17 2021-03-23 Applied Materials Israel Ltd. Method of examination of a specimen and system thereof
US11122680B2 (en) 2019-03-18 2021-09-14 International Business Machines Corporation Passive methods of loose die identification
CN110110849B (en) * 2019-04-29 2023-04-07 西安电子科技大学 Line fixed data stream mapping method based on graph segmentation
US11557031B2 (en) * 2019-11-21 2023-01-17 Kla Corporation Integrated multi-tool reticle inspection
US11022566B1 (en) * 2020-03-31 2021-06-01 Applied Materials Israel Ltd. Examination of a semiconductor specimen
US11763446B2 (en) * 2020-05-01 2023-09-19 Pdf Solutions, Inc. Wafer bin map based root cause analysis
US11769242B2 (en) 2020-05-21 2023-09-26 Kla Corporation Mode selection and defect detection training
US20220230314A1 (en) * 2021-01-15 2022-07-21 Kulicke And Soffa Industries, Inc. Intelligent pattern recognition systems for wire bonding and other electronic component packaging equipment, and related methods
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
US20230314336A1 (en) 2022-03-31 2023-10-05 Kla Corporation Multi-mode optical inspection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US20040223639A1 (en) * 2003-03-14 2004-11-11 Yoshiyuki Sato System for creating an inspection recipe, system for reviewing defects, method for creating an inspection recipe and method for reviewing defects
WO2006039584A1 (en) * 2004-09-30 2006-04-13 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing

Family Cites Families (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (en) 1980-01-09 1981-07-17 Ibm France TEST METHODS AND STRUCTURES FOR SEMICONDUCTOR INTEGRATED CIRCUITS FOR ELECTRICALLY DETERMINING CERTAIN TOLERANCES DURING PHOTOLITHOGRAPHIC STAGES
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (en) 1983-09-16 1985-04-10 Fujitsu Ltd Inspection of mask pattern
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (en) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd Instument for inspecting pattern defect of printed wiring board
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (en) 1996-01-24 2005-10-19 富士ゼロックス株式会社 Image reading device
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (en) 1991-08-22 1996-11-14 Kla Instr Corp Device for automatic testing of photomask
DE69333348T2 (en) 1992-03-09 2004-09-16 San Diego Regional Cancer Center, San Diego Anti-idiotypic antibodies and their use in the diagnosis and therapy of HIV-related diseases
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (en) 1992-04-27 1997-10-27 三菱電機株式会社 Mask inspection method and mask detection device
JP3730263B2 (en) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JP3212389B2 (en) 1992-10-26 2001-09-25 株式会社キリンテクノシステム Inspection method for foreign substances on solids
JPH06177706A (en) 1992-12-08 1994-06-24 Sony Corp Signal processing unit
KR100300618B1 (en) 1992-12-25 2001-11-22 오노 시게오 EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD USING THE DEVICE
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
WO1997013370A1 (en) 1995-10-02 1997-04-10 Kla Instruments Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (en) 1996-03-29 1997-12-12 Hitachi Ltd Electron beam type inspecting method, device therefor, manufacture of semiconductor, and its manufacturing line
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (en) 1996-10-10 1999-06-15 윤종용 Measuring apparatus and method of aerial image
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (en) 1997-05-10 2001-12-15 박종섭 Method for improving time error of time and frequency generating device using gps
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (en) 1998-10-30 2006-12-20 富士通株式会社 Link processing device
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
WO2000068738A1 (en) 1999-05-07 2000-11-16 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
EP1190238A1 (en) 1999-05-18 2002-03-27 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
JP3816390B2 (en) 1999-07-02 2006-08-30 富士通株式会社 Service allocation device
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (en) 1999-11-26 2001-12-28 Pechiney Aluminium METHOD FOR MEASURING THE DEGREE AND THE HOMOGENEITY OF CALCINATION OF ALUMINS
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6701004B1 (en) 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US7135676B2 (en) 2000-06-27 2006-11-14 Ebara Corporation Inspection system by charged particle beam and method of manufacturing devices using the system
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (en) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd Defect inspecting and analyzing method and system therefor
DE10044257A1 (en) 2000-09-07 2002-04-11 Infineon Technologies Ag Process for generating mask layout data for lithography simulation and optimized mask layout data, and associated device and programs
US6513151B1 (en) 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (en) 2001-03-23 2007-09-12 株式会社日立製作所 Substrate inspection apparatus and substrate inspection method using charged particle beam
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (en) 2001-04-10 2008-01-23 株式会社日立製作所 Defect data analysis method and apparatus, and review system
JP4266082B2 (en) 2001-04-26 2009-05-20 株式会社東芝 Inspection method for exposure mask pattern
JP4199939B2 (en) 2001-04-27 2008-12-24 株式会社日立製作所 Semiconductor inspection system
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP2003031477A (en) 2001-07-17 2003-01-31 Hitachi Ltd Manufacturing method of semiconductor device and system thereof
JP4122735B2 (en) 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
EP1694076B1 (en) 2001-09-12 2009-12-30 Panasonic Corporation Picture coding and decoding method
JP3870052B2 (en) 2001-09-20 2007-01-17 株式会社日立製作所 Semiconductor device manufacturing method and defect inspection data processing method
JP3955450B2 (en) 2001-09-27 2007-08-08 株式会社ルネサステクノロジ Sample inspection method
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (en) 2002-02-19 2005-03-16 本多エレクトロン株式会社 Wafer inspection equipment
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
AU2003274370A1 (en) * 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
JP2004031709A (en) 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (en) 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
EP1543451A4 (en) 2002-07-12 2010-11-17 Cadence Design Systems Inc Method and system for context-specific mask writing
JP2006502422A (en) 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド Method and system for context-specific mask inspection
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (en) 2002-09-23 2005-03-10 삼성전자주식회사 Method of setting reference images, method and apparatus using the setting method for inspecting patterns on a wafer
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
WO2004055472A2 (en) 2002-12-13 2004-07-01 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
JP4230838B2 (en) * 2003-06-27 2009-02-25 株式会社日立ハイテクノロジーズ Inspection recipe setting method and defect inspection method in defect inspection apparatus
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (en) 2003-05-14 2004-12-02 Hitachi Ltd Flaw inspection device and positive electron beam application device
JP2004340773A (en) * 2003-05-16 2004-12-02 Renesas Technology Corp Apparatus for making test recipe
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (en) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd Method and apparatus for analyzing pattern
JP4351522B2 (en) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ Pattern defect inspection apparatus and pattern defect inspection method
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
KR101056142B1 (en) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 Computerized method for detecting defects in reticle design data
JP4426871B2 (en) * 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Image noise removal of FIB / SEM combined device
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
CN101027693B (en) 2004-08-09 2010-05-12 伯拉考国际股份公司 An image registration method and apparatus for medical imaging based on mulptiple masks
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
KR20170003710A (en) 2004-10-12 2017-01-09 케이엘에이-텐코 코포레이션 Computer-implemented methods and systems for classifying defects on a specimen
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (en) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd Image defect inspection method, image defect inspection device, and external appearance inspection device
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
JP4806020B2 (en) 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. Method for creating a focus exposure model of a lithographic process, method for creating a single model of a lithographic process for use at nominal conditions, and a computer readable medium
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (en) 2005-08-10 2009-07-28 삼성전자주식회사 Methods for Detecting Defective Semiconductor Wafers with Local Defect Mode Using Wafer Defect Index and Equipments Used Thereon
JP2006017744A (en) * 2005-09-14 2006-01-19 Toshiba Corp Defect inspection recipe creating method
JP4203498B2 (en) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Image correction apparatus, pattern inspection apparatus, image correction method, and pattern defect inspection method
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
WO2009026358A1 (en) 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US20040223639A1 (en) * 2003-03-14 2004-11-11 Yoshiyuki Sato System for creating an inspection recipe, system for reviewing defects, method for creating an inspection recipe and method for reviewing defects
WO2006039584A1 (en) * 2004-09-30 2006-04-13 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing

Also Published As

Publication number Publication date
JP2010514226A (en) 2010-04-30
JP2014003327A (en) 2014-01-09
JP5624660B2 (en) 2014-11-12
US20080250384A1 (en) 2008-10-09
US7877722B2 (en) 2011-01-25
IL198416A0 (en) 2010-02-17
JP5427609B2 (en) 2014-02-26
WO2008077100A2 (en) 2008-06-26

Similar Documents

Publication Publication Date Title
WO2008077100A3 (en) Systems and methods for creating inspection recipes
WO2007117736A3 (en) Dynamic metrology sampling for a dual damascene process
WO2008070722A3 (en) Methods and systems for identifying defect types on a wafer
TWI371073B (en) Wafer inspection system and a method for translating wafers
WO2009015084A3 (en) Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
TW200729390A (en) Method for making semiconductor wafer
WO2008008817A3 (en) Edge inspection and metrology
WO2009129105A3 (en) Methods and systems for determining a defect criticality index for defects on wafers
WO2007026361A3 (en) A method and a system for establishing an inspection recipe
WO2007126469A3 (en) Method for conditioning a process chamber
WO2007137261A3 (en) Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
WO2005092025A3 (en) Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
TW200741368A (en) Method of manufacturing semiconductor device and liquid immersion lithography system
WO2007026351A3 (en) An inspection system and a method for inspecting a diced wafer
SG171516A1 (en) Defect detection recipe definition
WO2005008747A3 (en) Methods and systems for inspection of wafers and reticles using designer intent data
WO2007092229A3 (en) Transforming metrology data from a semiconductor treatment system using multivariate analysis
DE602007004290D1 (en) Method and device for metrology integration with an etching treatment
WO2008078637A1 (en) Pattern forming method and method for manufacturing semiconductor device
TW200802914A (en) Method for forming thin film photovoltaic interconnects using self-aligned process
TW200802628A (en) Semiconductor structure and fabrications thereof
SG136917A1 (en) Method for removing masking materials with reduced low-k dielectric material damage
WO2011008688A3 (en) Scanner performance comparison and matching using design and defect data
EP1874107A3 (en) Method, device and program for setting a reference value for substrate inspection
WO2008123459A1 (en) Apparatus and method for inspecting edge of semiconductor wafer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07869525

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 198416

Country of ref document: IL

ENP Entry into the national phase

Ref document number: 2009543184

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07869525

Country of ref document: EP

Kind code of ref document: A2