WO2008086282A3 - Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions - Google Patents

Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions Download PDF

Info

Publication number
WO2008086282A3
WO2008086282A3 PCT/US2008/050397 US2008050397W WO2008086282A3 WO 2008086282 A3 WO2008086282 A3 WO 2008086282A3 US 2008050397 W US2008050397 W US 2008050397W WO 2008086282 A3 WO2008086282 A3 WO 2008086282A3
Authority
WO
WIPO (PCT)
Prior art keywords
defect
related functions
fabricated
wafer
perform
Prior art date
Application number
PCT/US2008/050397
Other languages
French (fr)
Other versions
WO2008086282A2 (en
Inventor
Allen Park
Peter Rose
Ellis Chang
Brian Duffy
Mark Mccord
Gordon Abbott
Original Assignee
Kla Tencor Corp
Allen Park
Peter Rose
Ellis Chang
Brian Duffy
Mark Mccord
Gordon Abbott
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp, Allen Park, Peter Rose, Ellis Chang, Brian Duffy, Mark Mccord, Gordon Abbott filed Critical Kla Tencor Corp
Publication of WO2008086282A2 publication Critical patent/WO2008086282A2/en
Publication of WO2008086282A3 publication Critical patent/WO2008086282A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2894Aspects of quality control [QC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

Various methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions are provided. One computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions.
PCT/US2008/050397 2007-01-05 2008-01-07 Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions WO2008086282A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88361707P 2007-01-05 2007-01-05
US60/883,617 2007-01-05

Publications (2)

Publication Number Publication Date
WO2008086282A2 WO2008086282A2 (en) 2008-07-17
WO2008086282A3 true WO2008086282A3 (en) 2008-09-12

Family

ID=39539505

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/050397 WO2008086282A2 (en) 2007-01-05 2008-01-07 Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions

Country Status (2)

Country Link
US (1) US8194968B2 (en)
WO (1) WO2008086282A2 (en)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1982160A4 (en) 2006-02-09 2016-02-17 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
JP5024636B2 (en) * 2006-06-27 2012-09-12 日本電気株式会社 Warpage analysis method for board or electronic component, warpage analysis system for board or electronic component, and warpage analysis program for board or electronic component
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
WO2009155502A2 (en) 2008-06-19 2009-12-23 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US9430606B2 (en) * 2010-01-30 2016-08-30 Synopsys, Inc. Failure analysis and inline defect characterization
US8826209B2 (en) * 2011-06-30 2014-09-02 Synopsys, Inc. Automated inline defect characterization
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8211717B1 (en) * 2011-01-26 2012-07-03 International Business Machines Corporation SEM repair for sub-optimal features
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP5715873B2 (en) * 2011-04-20 2015-05-13 株式会社日立ハイテクノロジーズ Defect classification method and defect classification system
US20120316855A1 (en) * 2011-06-08 2012-12-13 Kla-Tencor Corporation Using Three-Dimensional Representations for Defect-Related Applications
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9715723B2 (en) 2012-04-19 2017-07-25 Applied Materials Israel Ltd Optimization of unknown defect rejection for automatic defect classification
US10043264B2 (en) 2012-04-19 2018-08-07 Applied Materials Israel Ltd. Integration of automatic and manual defect classification
US9595091B2 (en) 2012-04-19 2017-03-14 Applied Materials Israel, Ltd. Defect classification using topographical attributes
US9858658B2 (en) 2012-04-19 2018-01-02 Applied Materials Israel Ltd Defect classification using CAD-based context attributes
US9607233B2 (en) 2012-04-20 2017-03-28 Applied Materials Israel Ltd. Classifier readiness and maintenance in automatic defect classification
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9235885B2 (en) * 2013-01-31 2016-01-12 Applied Materials Israel Ltd System, a method and a computer program product for patch-based defect detection
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) * 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
TWI627546B (en) * 2013-06-29 2018-06-21 新納普系統股份有限公司 Chip cross-section identification and rendering during failure analysis
US9355208B2 (en) * 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
US10114368B2 (en) 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
US9689923B2 (en) * 2013-08-03 2017-06-27 Kla-Tencor Corp. Adaptive electrical testing of wafers
US10127652B2 (en) * 2014-02-06 2018-11-13 Kla-Tencor Corp. Defect detection and classification based on attributes determined from a standard reference image
US9595096B2 (en) * 2014-03-10 2017-03-14 The Boeing Company Composite inspection and structural check of multiple layers
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
US9582869B2 (en) * 2014-10-19 2017-02-28 Kla-Tencor Corp. Dynamic binning for diversification and defect discovery
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10483081B2 (en) 2014-10-22 2019-11-19 Kla-Tencor Corp. Self directed metrology and pattern classification
US9518934B2 (en) * 2014-11-04 2016-12-13 Kla-Tencor Corp. Wafer defect discovery
KR102392057B1 (en) * 2014-12-22 2022-04-28 삼성전자주식회사 Method of Auto Defect Classification
US10012599B2 (en) * 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10539612B2 (en) 2015-05-20 2020-01-21 Kla-Tencor Corporation Voltage contrast based fault and defect inference in logic chips
US10018571B2 (en) 2015-05-28 2018-07-10 Kla-Tencor Corporation System and method for dynamic care area generation on an inspection tool
US10062543B2 (en) 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
US9735064B2 (en) * 2015-07-29 2017-08-15 Globalfoundries Inc. Charge dynamics effect for detection of voltage contrast defect and determination of shorting location
WO2017019171A1 (en) * 2015-07-30 2017-02-02 Kla-Tencor Corporation System and method for dynamic care area generation on an inspection tool
KR102160217B1 (en) 2015-12-22 2020-09-28 에이에스엠엘 네델란즈 비.브이. Apparatus and method for process-window characterization
US10416087B2 (en) 2016-01-01 2019-09-17 Kla-Tencor Corporation Systems and methods for defect detection using image reconstruction
US9915625B2 (en) * 2016-01-04 2018-03-13 Kla-Tencor Corp. Optical die to database inspection
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10276346B1 (en) 2016-03-09 2019-04-30 Kla-Tencor Corporation Particle beam inspector with independently-controllable beams
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10115040B2 (en) * 2016-09-14 2018-10-30 Kla-Tencor Corporation Convolutional neural network-based mode selection and defect classification for image fusion
US10191107B2 (en) 2017-02-23 2019-01-29 Globalfoundries Inc. Ranking defects with yield impacts
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
WO2021133636A1 (en) * 2019-12-23 2021-07-01 Synopsys, Inc. Net-based wafer inspection
TWI736385B (en) * 2020-08-05 2021-08-11 華邦電子股份有限公司 Failure mode analysis method for memory device
US11934094B2 (en) * 2021-03-23 2024-03-19 International Business Machines Corporation Mask fingerprint using mask sensitive circuit
TWI769962B (en) * 2021-12-03 2022-07-01 新唐科技股份有限公司 Driving apparatus and detection system for memory module failure detection, and memory device using the driving apparatus

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0032197A1 (en) * 1980-01-09 1981-07-22 International Business Machines Corporation Test procedures for integrated semi-conductor circuits allowing the electric determination of certain tolerances during the photolithographic stages
US5986263A (en) * 1996-03-29 1999-11-16 Hitachi, Ltd. Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US6445199B1 (en) * 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US20020134936A1 (en) * 2001-03-23 2002-09-26 Miyako Matsui Wafer inspection system and wafer inspection process using charged particle beam
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
EP1480034A1 (en) * 2003-05-14 2004-11-24 Hitachi, Ltd. High resolution defect inspection with positron annihilation by simultaneous irradiation of a positron beam and an electron beam
US20060000964A1 (en) * 2003-03-18 2006-01-05 Jun Ye System and method for lithography process monitoring and control

Family Cites Families (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (en) 1983-09-16 1985-04-10 Fujitsu Ltd Inspection of mask pattern
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (en) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd Instument for inspecting pattern defect of printed wiring board
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
JPH0648380B2 (en) 1985-06-13 1994-06-22 株式会社東芝 Mask inspection method
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5124927A (en) 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JP3707172B2 (en) 1996-01-24 2005-10-19 富士ゼロックス株式会社 Image reading device
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
DE69208413T2 (en) 1991-08-22 1996-11-14 Kla Instr Corp Device for automatic testing of photomask
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
EP0671920B1 (en) 1992-03-09 2003-12-10 San Diego Regional Cancer Center An anti-idiotypic antibody and its use in diagnosis and in therapy in hiv-related disease
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (en) 1992-04-27 1997-10-27 三菱電機株式会社 Mask inspection method and mask detection device
JP3730263B2 (en) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JP3212389B2 (en) 1992-10-26 2001-09-25 株式会社キリンテクノシステム Inspection method for foreign substances on solids
JPH06177706A (en) 1992-12-08 1994-06-24 Sony Corp Signal processing unit
KR100300618B1 (en) 1992-12-25 2001-11-22 오노 시게오 EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD USING THE DEVICE
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
EP0853856B1 (en) 1995-10-02 2004-12-22 KLA-Tencor Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5917332A (en) 1996-05-09 1999-06-29 Advanced Micro Devices, Inc. Arrangement for improving defect scanner sensitivity and scanning defects on die of a semiconductor wafer
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (en) 1996-10-10 1999-06-15 윤종용 Measuring apparatus and method of aerial image
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5978501A (en) 1997-01-03 1999-11-02 International Business Machines Corporation Adaptive inspection method and system
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (en) 1997-05-10 2001-12-15 박종섭 Method for improving time error of time and frequency generating device using gps
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
JPH11162832A (en) 1997-11-25 1999-06-18 Nikon Corp Scan aligning method and scan aligner
US5999003A (en) 1997-12-12 1999-12-07 Advanced Micro Devices, Inc. Intelligent usage of first pass defect data for improved statistical accuracy of wafer level classification
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6040912A (en) 1998-09-30 2000-03-21 Advanced Micro Devices, Inc. Method and apparatus for detecting process sensitivity to integrated circuit layout using wafer to wafer defect inspection device
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6393602B1 (en) 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
JP3860347B2 (en) 1998-10-30 2006-12-20 富士通株式会社 Link processing device
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
WO2000068738A1 (en) 1999-05-07 2000-11-16 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (en) 1999-05-18 2002-02-08 조셉 제이. 스위니 Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
JP2001143982A (en) 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
WO2001003380A1 (en) 1999-07-02 2001-01-11 Fujitsu Limited Service allotting device
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (en) 1999-11-26 2001-12-28 Pechiney Aluminium METHOD FOR MEASURING THE DEGREE AND THE HOMOGENEITY OF CALCINATION OF ALUMINS
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6738954B1 (en) 1999-12-08 2004-05-18 International Business Machines Corporation Method for prediction random defect yields of integrated circuits with accuracy and computation time controls
US6701004B1 (en) 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP4419250B2 (en) 2000-02-15 2010-02-24 株式会社ニコン Defect inspection equipment
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
JP2002032737A (en) 2000-07-14 2002-01-31 Seiko Instruments Inc Method and device for navigation for pattern observation of semiconductor device
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (en) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd Defect inspecting and analyzing method and system therefor
TW513772B (en) 2000-09-05 2002-12-11 Komatsu Denshi Kinzoku Kk Apparatus for inspecting wafer surface, method for inspecting wafer surface, apparatus for judging defective wafer, method for judging defective wafer and information treatment apparatus of wafer surface
DE10044257A1 (en) 2000-09-07 2002-04-11 Infineon Technologies Ag Process for generating mask layout data for lithography simulation and optimized mask layout data, and associated device and programs
US6513151B1 (en) 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6724489B2 (en) 2000-09-22 2004-04-20 Daniel Freifeld Three dimensional scanning camera
WO2002037526A1 (en) 2000-11-02 2002-05-10 Ebara Corporation Electron beam apparatus and method for manufacturing semiconductor device comprising the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20020145734A1 (en) 2001-02-09 2002-10-10 Cory Watkins Confocal 3D inspection system and process
WO2002073661A2 (en) 2001-03-12 2002-09-19 Pdf Solutions, Inc. Extraction method of defect density and size distributions
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6605478B2 (en) 2001-03-30 2003-08-12 Appleid Materials, Inc, Kill index analysis for automatic defect classification in semiconductor wafers
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (en) 2001-04-10 2008-01-23 株式会社日立製作所 Defect data analysis method and apparatus, and review system
JP4266082B2 (en) 2001-04-26 2009-05-20 株式会社東芝 Inspection method for exposure mask pattern
JP4199939B2 (en) 2001-04-27 2008-12-24 株式会社日立製作所 Semiconductor inspection system
JP2002353099A (en) 2001-05-22 2002-12-06 Canon Inc Apparatus and method for detecting position aligner and method for manufacturing device
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
JP3551163B2 (en) 2001-06-08 2004-08-04 三菱住友シリコン株式会社 Defect inspection method and defect inspection device
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP2003031477A (en) 2001-07-17 2003-01-31 Hitachi Ltd Manufacturing method of semiconductor device and system thereof
JP4122735B2 (en) 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
ATE543339T1 (en) 2001-09-12 2012-02-15 Panasonic Corp IMAGE CODING METHOD
JP3870052B2 (en) 2001-09-20 2007-01-17 株式会社日立製作所 Semiconductor device manufacturing method and defect inspection data processing method
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
JP2003151483A (en) 2001-11-19 2003-05-23 Hitachi Ltd Substrate inspection device for circuit pattern using charged particle beam and substrate inspection method
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP2003215060A (en) 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (en) 2002-02-19 2005-03-16 本多エレクトロン株式会社 Wafer inspection equipment
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP2004031709A (en) 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (en) 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
EP1543451A4 (en) 2002-07-12 2010-11-17 Cadence Design Systems Inc Method and system for context-specific mask writing
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
JP4597859B2 (en) 2002-07-15 2010-12-15 ケーエルエー−テンカー コーポレイション Pattern qualification, pattern formation process, or pattern formation apparatus in the production of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US20040049722A1 (en) 2002-09-09 2004-03-11 Kabushiki Kaisha Toshiba Failure analysis system, failure analysis method, a computer program product and a manufacturing method for a semiconductor device
US7043071B2 (en) 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (en) 2002-09-23 2005-03-10 삼성전자주식회사 Method of setting reference images, method and apparatus using the setting method for inspecting patterns on a wafer
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7756320B2 (en) 2003-03-12 2010-07-13 Hitachi High-Technologies Corporation Defect classification using a logical equation for high stage classification
JP3699960B2 (en) 2003-03-14 2005-09-28 株式会社東芝 Inspection recipe creation system, defect review system, inspection recipe creation method and defect review method
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US7739064B1 (en) 2003-05-09 2010-06-15 Kla-Tencor Corporation Inline clustered defect reduction
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US7346470B2 (en) 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7114143B2 (en) 2003-10-29 2006-09-26 Lsi Logic Corporation Process yield learning
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (en) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd Method and apparatus for analyzing pattern
JP4351522B2 (en) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ Pattern defect inspection apparatus and pattern defect inspection method
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (en) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション Computer-implemented method for detecting defects in reticle design data
JP4426871B2 (en) 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Image noise removal of FIB / SEM combined device
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP2005283326A (en) 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
JP4347751B2 (en) 2004-06-07 2009-10-21 株式会社アドバンテスト Defect analysis system and defect location display method
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
JP4758427B2 (en) 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション Computer-implemented method for generating input for simulation programs or for generating simulated images of reticles
EP1779325B1 (en) 2004-08-09 2011-06-08 Bracco Suisse SA An image registration method and apparatus for medical imaging based on multiple masks
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
US8532949B2 (en) 2004-10-12 2013-09-10 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for classifying defects on a specimen
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (en) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd Image defect inspection method, image defect inspection device, and external appearance inspection device
JP4895569B2 (en) * 2005-01-26 2012-03-14 株式会社日立ハイテクノロジーズ CHARGE CONTROL DEVICE AND MEASURING DEVICE PROVIDED WITH CHARGE CONTROL DEVICE
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7444615B2 (en) 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
KR100663365B1 (en) 2005-07-18 2007-01-02 삼성전자주식회사 Optical inspection tools including lens unit with at least a pair of beam paths therein and methods of detecting surface defects of a substrate using the same
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (en) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 System and method for creating a focus-exposure model of a lithography process
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (en) 2005-08-10 2009-07-28 삼성전자주식회사 Methods for Detecting Defective Semiconductor Wafers with Local Defect Mode Using Wafer Defect Index and Equipments Used Thereon
JP4203498B2 (en) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Image correction apparatus, pattern inspection apparatus, image correction method, and pattern defect inspection method
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7801353B2 (en) 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7962864B2 (en) 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
CN102396058B (en) 2009-02-13 2014-08-20 恪纳腾公司 Detecting defects on a wafer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0032197A1 (en) * 1980-01-09 1981-07-22 International Business Machines Corporation Test procedures for integrated semi-conductor circuits allowing the electric determination of certain tolerances during the photolithographic stages
US5986263A (en) * 1996-03-29 1999-11-16 Hitachi, Ltd. Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US6445199B1 (en) * 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US20020134936A1 (en) * 2001-03-23 2002-09-26 Miyako Matsui Wafer inspection system and wafer inspection process using charged particle beam
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US20060000964A1 (en) * 2003-03-18 2006-01-05 Jun Ye System and method for lithography process monitoring and control
EP1480034A1 (en) * 2003-05-14 2004-11-24 Hitachi, Ltd. High resolution defect inspection with positron annihilation by simultaneous irradiation of a positron beam and an electron beam

Also Published As

Publication number Publication date
US8194968B2 (en) 2012-06-05
WO2008086282A2 (en) 2008-07-17
US20080167829A1 (en) 2008-07-10

Similar Documents

Publication Publication Date Title
WO2008086282A3 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
WO2009067140A3 (en) Fin-jfet
WO2010009000A3 (en) Strip connectors for measurement devices
WO2008135905A3 (en) A photosensitive device and a method of manufacturing a photosensitive device
PL2189136T3 (en) Action-aiding system for wearable type action-aiding device, wearable type action-aiding device, and action-aiding method for the wearable type action-aiding device
EP1903478A3 (en) Methods and systems for defining, identifying and learning geometric features
WO2007148300A3 (en) Methods and systems for push-to-storage
WO2009158152A3 (en) Operator identifying apparatus, operator identifying method, and vehicle-mounted apparatus
EP2053777A4 (en) A certification method, system, and device
WO2008082987A3 (en) Analyte meter protectors and methods
WO2007133625A3 (en) Multi-lingual information retrieval
EP2076057A4 (en) Method, system and device for realizing information locking
EP2006813A4 (en) Display device, data processing method and data processing system using the display device
EP2240884B8 (en) Device for acquiring fingerprints on the fly
EP1924046A4 (en) A system, method and device for negotiating the equipment information
WO2008153164A3 (en) Mih pre-authentication
WO2012037166A3 (en) Systems and methods for user interaction based on license or other identification information
WO2010053519A3 (en) Alignment for edge field nano-imprinting
EP2063243A4 (en) Smart coating for damage detected information, inspecting device and damage inspecting method using said coating
EP2051436A4 (en) The method, device and system for access authenticating
WO2012016079A3 (en) Case for an electronic device and method of providing and using the same
EP2084745A4 (en) Device, and method for manufacturing the same
WO2009017231A2 (en) Pattern examination system, pattern examination device, method, and pattern examination program
EP2234459A4 (en) Polymer light-emitting device, method for manufacturing the same and polymer light-emitting display device
EP1972988B8 (en) Light-transmissive film, method for manufacturing the same, and display apparatus

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08713621

Country of ref document: EP

Kind code of ref document: A2

122 Ep: pct application non-entry in european phase

Ref document number: 08713621

Country of ref document: EP

Kind code of ref document: A2