WO2008115243A3 - Configurable ic having a routing fabric with storage elements - Google Patents

Configurable ic having a routing fabric with storage elements Download PDF

Info

Publication number
WO2008115243A3
WO2008115243A3 PCT/US2007/069831 US2007069831W WO2008115243A3 WO 2008115243 A3 WO2008115243 A3 WO 2008115243A3 US 2007069831 W US2007069831 W US 2007069831W WO 2008115243 A3 WO2008115243 A3 WO 2008115243A3
Authority
WO
WIPO (PCT)
Prior art keywords
configurable
routing fabric
storage elements
routing
source
Prior art date
Application number
PCT/US2007/069831
Other languages
French (fr)
Other versions
WO2008115243A2 (en
Inventor
Steven Teig
Herman Schmit
Jason Redgrave
Randy Renfu Huang
Original Assignee
Tabula Inc
Steven Teig
Herman Schmit
Jason Redgrave
Randy Renfu Huang
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tabula Inc, Steven Teig, Herman Schmit, Jason Redgrave, Randy Renfu Huang filed Critical Tabula Inc
Priority to EP07797815A priority Critical patent/EP2140548A4/en
Publication of WO2008115243A2 publication Critical patent/WO2008115243A2/en
Publication of WO2008115243A3 publication Critical patent/WO2008115243A3/en

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17704Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form the logic functions being realised by the interconnection of rows and columns
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources

Abstract

Some embodiments provide a configurable IC that includes a configurable routing fabric with storage elements (1710, 1720). In some embodiments, the routing fabric provides a communication pathway that routes signals to and from source and destination components. The routing fabric of some embodiments provides the ability to selectively store the signals passing through the routing fabric within the storage elements (1710, 1720) of the routing fabric In this manner, a source or destination component continually performs operations (e g, computational or routing) irrespective of whether a previous signal from or to such a component is stored within the routing fabric. The source and destination components include configurable logic circuits, configurable interconnect circuits, and various other circuits that receive or distribute signals throughout the configurable IC.
PCT/US2007/069831 2007-03-20 2007-05-27 Configurable ic having a routing fabric with storage elements WO2008115243A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP07797815A EP2140548A4 (en) 2007-03-20 2007-05-27 Configurable ic having a routing fabric with storage elements

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US89594607P 2007-03-20 2007-03-20
US60/895,946 2007-03-20
US91510807P 2007-04-30 2007-04-30
US60/915,108 2007-04-30

Publications (2)

Publication Number Publication Date
WO2008115243A2 WO2008115243A2 (en) 2008-09-25
WO2008115243A3 true WO2008115243A3 (en) 2008-11-20

Family

ID=39766636

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/069831 WO2008115243A2 (en) 2007-03-20 2007-05-27 Configurable ic having a routing fabric with storage elements

Country Status (3)

Country Link
US (6) US7525344B2 (en)
EP (3) EP2597777A3 (en)
WO (1) WO2008115243A2 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7425841B2 (en) * 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7330050B2 (en) * 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7236009B1 (en) * 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
WO2007124048A2 (en) * 2006-04-19 2007-11-01 Trustees Of Princeton University A hybrid nanotube/cmos dynamically reconfigurable architecture and an integrated design optimization method and system therefor
US7525344B2 (en) * 2007-03-20 2009-04-28 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US8248101B2 (en) * 2007-09-06 2012-08-21 Tabula, Inc. Reading configuration data from internal storage node of configuration storage circuit
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
EP2345160A4 (en) * 2008-09-17 2016-11-16 Altera Corp Controllable storage elements for an ic
EP2190022B1 (en) * 2008-11-20 2013-01-02 Hitachi Ltd. Spin-polarised charge carrier device
KR101581414B1 (en) * 2009-02-05 2015-12-30 삼성전자주식회사 Reconfigurable processor for reducing power consumption and method thereof
US8912820B2 (en) 2010-04-02 2014-12-16 Tabula, Inc. System and method for reducing reconfiguration power
TWI420450B (en) * 2010-12-23 2013-12-21 Au Optronics Corp Clock signal supplying method and circuit for shift register
US8493089B2 (en) 2011-04-06 2013-07-23 International Business Machines Corporation Programmable logic circuit using three-dimensional stacking techniques
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9148151B2 (en) * 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US20130015323A1 (en) * 2011-07-14 2013-01-17 Analog Devices, Inc. Image sensor with a charge-based readout circuit
US8536896B1 (en) * 2012-05-31 2013-09-17 Xilinx, Inc. Programmable interconnect element and method of implementing a programmable interconnect element
WO2014007845A1 (en) * 2012-07-02 2014-01-09 Tabula, Inc. Configurable storage elements
US9543958B1 (en) * 2015-02-18 2017-01-10 Flex Logix Technologies, Inc. Multiplexer-memory cell circuit, layout thereof and method of manufacturing same
US10738378B2 (en) 2016-07-08 2020-08-11 Norsk Titanium As Multi-chamber deposition equipment for solid free form fabrication

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847577A (en) * 1995-02-24 1998-12-08 Xilinx, Inc. DRAM memory cell for programmable logic devices
US6807660B1 (en) * 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation
US7116131B1 (en) * 2004-09-15 2006-10-03 Xilinx, Inc. High performance programmable logic devices utilizing dynamic circuitry

Family Cites Families (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10767A (en) * 1854-04-11 John webster
US125914A (en) * 1872-04-23 Improvement in photographic cameras
US42931A (en) * 1864-05-31 william demuth
US113619A (en) * 1871-04-11 Improvement in perfuming and disinfecting apparatus
US125910A (en) * 1872-04-23 Improvement in cigar-boxes
US161568A (en) * 1875-03-30 Improvement in signaling devices for street-cars
US163357A (en) * 1875-05-18 Improvement in cribs
US103265A (en) * 1870-05-17 Improvement in boring-tool
US10853A (en) * 1854-05-02 Operating- catch tn tool-holders
US4128890A (en) 1977-06-29 1978-12-05 General Electric Company Integrated arithmetic unit and digital networks using the unit
US4135249A (en) * 1977-06-29 1979-01-16 General Electric Company Signed double precision multiplication logic
NL7809398A (en) * 1978-09-15 1980-03-18 Philips Nv MULTIPLICATOR FOR BINARY NUMBERS IN TWO-COMPLEMENT NOTATION.
JPS57141753A (en) 1981-02-25 1982-09-02 Nec Corp Multiplication circuit
US4594661A (en) 1982-02-22 1986-06-10 International Business Machines Corp. Microword control system utilizing multiplexed programmable logic arrays
US4758745B1 (en) 1986-09-19 1994-11-15 Actel Corp User programmable integrated circuit interconnect architecture and test method
US4980577A (en) 1987-06-18 1990-12-25 Advanced Micro Devices, Inc. Dual triggered edge-sensitive asynchrounous flip-flop
US5291489A (en) 1987-11-13 1994-03-01 Dsc Communications Corporation Interprocessor switching network
US5532958A (en) 1990-06-25 1996-07-02 Dallas Semiconductor Corp. Dual storage cell memory
US5191241A (en) 1990-08-01 1993-03-02 Actel Corporation Programmable interconnect architecture
KR950004453B1 (en) 1990-09-07 1995-05-01 니뽄 덴끼 가부시끼가이샤 Register circuit having the function of inter-register copying
JP2724932B2 (en) 1991-12-03 1998-03-09 三菱電機株式会社 Dual port memory
US5475830A (en) 1992-01-31 1995-12-12 Quickturn Design Systems, Inc. Structure and method for providing a reconfigurable emulation circuit without hold time violations
US5521835A (en) 1992-03-27 1996-05-28 Xilinx, Inc. Method for programming an FPLD using a library-based technology mapping algorithm
US5258668A (en) 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5648913A (en) 1993-03-29 1997-07-15 Xilinx, Inc. Frequency driven layout system and method for field programmable gate arrays
US5369622A (en) 1993-04-20 1994-11-29 Micron Semiconductor, Inc. Memory with isolated digit lines
WO1994028475A1 (en) * 1993-05-28 1994-12-08 Regents Of The University Of California Field programmable logic device with dynamic interconnections to a dynamic logic core
IL109921A (en) 1993-06-24 1997-09-30 Quickturn Design Systems Method and apparatus for configuring memory circuits
WO1995004402A1 (en) * 1993-08-03 1995-02-09 Xilinx, Inc. Microprocessor-based fpga
US6462578B2 (en) 1993-08-03 2002-10-08 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5386156A (en) * 1993-08-27 1995-01-31 At&T Corp. Programmable function unit with programmable fast ripple logic
US5349250A (en) 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5546018A (en) 1993-09-02 1996-08-13 Xilinx, Inc. Fast carry structure with synchronous input
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5777360A (en) 1994-11-02 1998-07-07 Lsi Logic Corporation Hexagonal field programmable gate array architecture
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
GB9508932D0 (en) 1995-05-02 1995-06-21 Xilinx Inc FPGA with parallel and serial user interfaces
US5640106A (en) 1995-05-26 1997-06-17 Xilinx, Inc. Method and structure for loading data into several IC devices
US5631578A (en) 1995-06-02 1997-05-20 International Business Machines Corporation Programmable array interconnect network
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5552721A (en) 1995-06-05 1996-09-03 International Business Machines Corporation Method and system for enhanced drive in programmmable gate arrays
US5732246A (en) 1995-06-07 1998-03-24 International Business Machines Corporation Programmable array interconnect latch
US5692147A (en) 1995-06-07 1997-11-25 International Business Machines Corporation Memory mapping method and apparatus to fold sparsely populated structures into densely populated memory columns or rows by selectively transposing X and Y address portions, and programmable gate array applications thereof
US5657266A (en) 1995-06-30 1997-08-12 Micron Technology, Inc. Single ended transfer circuit
US5629637A (en) 1995-08-18 1997-05-13 Xilinx, Inc. Method of time multiplexing a programmable logic device
US5761483A (en) 1995-08-18 1998-06-02 Xilinx, Inc. Optimizing and operating a time multiplexed programmable logic device
US5600263A (en) 1995-08-18 1997-02-04 Xilinx, Inc. Configuration modes for a time multiplexed programmable logic device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5701441A (en) 1995-08-18 1997-12-23 Xilinx, Inc. Computer-implemented method of optimizing a design in a time multiplexed programmable logic device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5764954A (en) 1995-08-23 1998-06-09 International Business Machines Corporation Method and system for optimizing a critical path in a field programmable gate array configuration
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5777489A (en) 1995-10-13 1998-07-07 Mentor Graphics Corporation Field programmable gate array with integrated debugging facilities
US5594367A (en) 1995-10-16 1997-01-14 Xilinx, Inc. Output multiplexer within input/output circuit for time multiplexing and high speed logic
US5640107A (en) 1995-10-24 1997-06-17 Northrop Grumman Corporation Method for in-circuit programming of a field-programmable gate array configuration memory
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
JPH09231788A (en) * 1995-12-19 1997-09-05 Fujitsu Ltd Shift register and programmable logic circuit and programmable logic circuit system
US5802003A (en) 1995-12-20 1998-09-01 International Business Machines Corporation System for implementing write, initialization, and reset in a memory array using a single cell write port
US5914906A (en) 1995-12-20 1999-06-22 International Business Machines Corporation Field programmable memory array
US5719889A (en) 1995-12-20 1998-02-17 International Business Machines Corporation Programmable parity checking and comparison circuit
US6515505B1 (en) * 1995-12-26 2003-02-04 Cypress Semiconductor Corp. Functionality change by bond optioning decoding
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
US6184709B1 (en) 1996-04-09 2001-02-06 Xilinx, Inc. Programmable logic device having a composable memory array overlaying a CLB array
US6346824B1 (en) 1996-04-09 2002-02-12 Xilinx, Inc. Dedicated function fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US6173379B1 (en) 1996-05-14 2001-01-09 Intel Corporation Memory device for a microprocessor register file having a power management scheme and method for copying information between memory sub-cells in a single clock cycle
US5867036A (en) 1996-05-29 1999-02-02 Lsi Logic Corporation Domino scan architecture and domino scan flip-flop for the testing of domino and hybrid CMOS circuits
US5795068A (en) 1996-08-30 1998-08-18 Xilinx, Inc. Method and apparatus for measuring localized temperatures and voltages on integrated circuits
US5805477A (en) 1996-09-26 1998-09-08 Hewlett-Packard Company Arithmetic cell for field programmable devices
US5796268A (en) 1996-10-02 1998-08-18 Kaplinsky; Cecil H. Programmable logic device with partial switch matrix and bypass mechanism
US6102964A (en) 1996-10-28 2000-08-15 Altera Corporation Fitting for incremental compilation of electronic designs
US5745422A (en) 1996-11-12 1998-04-28 International Business Machines Corporation Cross-coupled bitline segments for generalized data propagation
US5958000A (en) 1996-11-15 1999-09-28 Samsung Electronics, Co. Ltd. Two-bit booth multiplier with reduced data path width
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19704742A1 (en) * 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internal bus system for DFPs, as well as modules with two- or multi-dimensional programmable cell structures, for coping with large amounts of data with high networking effort
US6396303B1 (en) 1997-02-26 2002-05-28 Xilinx, Inc. Expandable interconnect structure for FPGAS
US5963050A (en) * 1997-02-26 1999-10-05 Xilinx, Inc. Configurable logic element with fast feedback paths
US5942913A (en) 1997-03-20 1999-08-24 Xilinx, Inc. FPGA repeatable interconnect structure with bidirectional and unidirectional interconnect lines
US5889411A (en) 1997-02-26 1999-03-30 Xilinx, Inc. FPGA having logic element carry chains capable of generating wide XOR functions
US5914616A (en) 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
JP3856892B2 (en) 1997-03-03 2006-12-13 日本電信電話株式会社 Self-synchronous pipeline data path circuit and asynchronous signal control circuit
US6160419A (en) 1997-11-03 2000-12-12 Altera Corporation Programmable logic architecture incorporating a content addressable embedded array block
JP3106998B2 (en) 1997-04-11 2000-11-06 日本電気株式会社 Programmable logic LSI with additional memory
US5960191A (en) * 1997-05-30 1999-09-28 Quickturn Design Systems, Inc. Emulation system with time-multiplexed interconnect
US6152612A (en) 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
US6545505B1 (en) 1997-09-30 2003-04-08 Cypress Semiconductor Corporation Hybrid routing architecture for high density complex programmable logic devices
US6097212A (en) * 1997-10-09 2000-08-01 Lattice Semiconductor Corporation Variable grain architecture for FPGA integrated circuits
US6191611B1 (en) 1997-10-16 2001-02-20 Altera Corporation Driver circuitry for programmable logic devices with hierarchical interconnection resources
US6069490A (en) 1997-12-02 2000-05-30 Xilinx, Inc. Routing architecture using a direct connect routing mesh
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
US6275064B1 (en) 1997-12-22 2001-08-14 Vantis Corporation Symmetrical, extended and fast direct connections between variable grain blocks in FPGA integrated circuits
GB9727414D0 (en) * 1997-12-29 1998-02-25 Imperial College Logic circuit
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
KR100329024B1 (en) 1998-03-27 2002-03-18 아끼구사 나오유끼 Destructive read type memory circuit, restoring circuit for the same and sense amplifier
JP3223964B2 (en) 1998-04-03 2001-10-29 日本電気株式会社 Semiconductor storage device
US6086631A (en) 1998-04-08 2000-07-11 Xilinx, Inc. Post-placement residual overlap removal method for core-based PLD programming process
US6175247B1 (en) 1998-04-14 2001-01-16 Lockheed Martin Corporation Context switchable field programmable gate array with public-private addressable sharing of intermediate data
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6140839A (en) 1998-05-13 2000-10-31 Kaviani; Alireza S. Computational field programmable architecture
US6038392A (en) 1998-05-27 2000-03-14 Nec Usa, Inc. Implementation of boolean satisfiability with non-chronological backtracking in reconfigurable hardware
JP3123977B2 (en) * 1998-06-04 2001-01-15 日本電気株式会社 Programmable function block
US6184707B1 (en) 1998-10-07 2001-02-06 Altera Corporation Look-up table based logic element with complete permutability of the inputs to the secondary signals
US6364865B1 (en) * 1998-11-13 2002-04-02 Elan Pharma International Limited Drug delivery systems and methods
US6163168A (en) 1998-12-09 2000-12-19 Vantis Corporation Efficient interconnect network for use in FPGA device having variable grain architecture
US6218876B1 (en) 1999-01-08 2001-04-17 Altera Corporation Phase-locked loop circuitry for programmable logic devices
US6324676B1 (en) 1999-01-14 2001-11-27 Xilinx, Inc. FPGA customizable to accept selected macros
US6107821A (en) 1999-02-08 2000-08-22 Xilinx, Inc. On-chip logic analysis and method for using the same
US6150838A (en) 1999-02-25 2000-11-21 Xilinx, Inc. FPGA configurable logic block with multi-purpose logic/memory circuit
US6396302B2 (en) 1999-02-25 2002-05-28 Xilinx, Inc. Configurable logic element with expander structures
US6430736B1 (en) 1999-02-26 2002-08-06 Xilinx, Inc. Method and apparatus for evolving configuration bitstreams
US6292019B1 (en) 1999-05-07 2001-09-18 Xilinx Inc. Programmable logic device having configurable logic blocks with user-accessible input multiplexers
US6184713B1 (en) 1999-06-06 2001-02-06 Lattice Semiconductor Corporation Scalable architecture for high density CPLDS having two-level hierarchy of routing resources
US6229337B1 (en) * 1999-06-15 2001-05-08 Ict Acquisition, Inc. High-density programmable logic device with flexible local connections and multiplexer based global interconnections
US6204687B1 (en) * 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6745160B1 (en) 1999-10-08 2004-06-01 Nec Corporation Verification of scheduling in the presence of loops using uninterpreted symbolic simulation
JP3471268B2 (en) 1999-12-10 2003-12-02 日本電気株式会社 Logic circuit
US6614703B2 (en) 2000-01-13 2003-09-02 Texas Instruments Incorporated Method and system for configuring integrated systems on a chip
US6255849B1 (en) 2000-02-04 2001-07-03 Xilinx, Inc. On-chip self-modification for PLDs
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6515506B1 (en) 2000-05-03 2003-02-04 Marvell International, Ltd. Circuit for reducing pin count of a semiconductor chip and method for configuring the chip
US6529040B1 (en) 2000-05-05 2003-03-04 Xilinx, Inc. FPGA lookup table with speed read decoder
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6469540B2 (en) 2000-06-15 2002-10-22 Nec Corporation Reconfigurable device having programmable interconnect network suitable for implementing data paths
US6629308B1 (en) 2000-07-13 2003-09-30 Xilinx, Inc. Method for managing database models for reduced programmable logic device components
US6515509B1 (en) 2000-07-13 2003-02-04 Xilinx, Inc. Programmable logic device structures in standard cell devices
US6675309B1 (en) * 2000-07-13 2004-01-06 Xilinx, Inc. Method for controlling timing in reduced programmable logic devices
US6490707B1 (en) 2000-07-13 2002-12-03 Xilinx, Inc. Method for converting programmable logic devices into standard cell devices
US6693456B2 (en) 2000-08-04 2004-02-17 Leopard Logic Inc. Interconnection network for a field programmable gate array
US6937063B1 (en) 2000-09-02 2005-08-30 Actel Corporation Method and apparatus of memory clearing with monitoring RAM memory cells in a field programmable gated array
US6476636B1 (en) 2000-09-02 2002-11-05 Actel Corporation Tileable field-programmable gate array architecture
US6603330B1 (en) 2000-10-26 2003-08-05 Cypress Semiconductor Corporation Configuring digital functions in a digital configurable macro architecture
US7061941B1 (en) 2000-11-28 2006-06-13 Winbond Electronics Corporation America Data input and output circuits for multi-data rate operation
US6711729B1 (en) * 2000-12-05 2004-03-23 Synplicity, Inc. Methods and apparatuses for designing integrated circuits using automatic reallocation techniques
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6691301B2 (en) * 2001-01-29 2004-02-10 Celoxica Ltd. System, method and article of manufacture for signal constructs in a programming language capable of programming hardware architectures
US6441642B1 (en) 2001-02-20 2002-08-27 Cypress Semiconductor Corp. Multiplexers for efficient PLD logic blocks
US6466051B1 (en) 2001-02-20 2002-10-15 Cypress Semiconductor Corp. Multiplexers for efficient PLD logic blocks
US7111224B1 (en) 2001-02-28 2006-09-19 Xilinx, Inc. FPGA configuration memory with built-in error correction mechanism
KR20020072049A (en) 2001-03-08 2002-09-14 엘지전자 주식회사 Apparatus for removal glitch
US6590417B1 (en) * 2001-04-03 2003-07-08 Cypress Semiconductor Corporation Cascadable bus based crossbar switch in a programmable logic device
US6526559B2 (en) 2001-04-13 2003-02-25 Interface & Control Systems, Inc. Method for creating circuit redundancy in programmable logic devices
JP3613396B2 (en) 2001-06-25 2005-01-26 日本電気株式会社 Function block
US6601227B1 (en) 2001-06-27 2003-07-29 Xilinx, Inc. Method for making large-scale ASIC using pre-engineered long distance routing structure
US6668361B2 (en) 2001-12-10 2003-12-23 International Business Machines Corporation Method and system for use of a field programmable function within a chip to enable configurable I/O signal timing characteristics
US20030110430A1 (en) * 2001-12-10 2003-06-12 International Business Machines Corporation Method and system for use of a field programmable gate array (FPGA) function within an application specific integrated circuit (ASIC) to enable creation of a debugger client within the ASIC
US6545501B1 (en) 2001-12-10 2003-04-08 International Business Machines Corporation Method and system for use of a field programmable function within a standard cell chip for repair of logic circuits
US6593771B2 (en) 2001-12-10 2003-07-15 International Business Machines Corporation Method and system for use of a field programmable interconnect within an ASIC for configuring the ASIC
US6806730B2 (en) 2001-12-10 2004-10-19 International Business Machines Corporation Method and system for use of an embedded field programmable gate array interconnect for flexible I/O connectivity
US6686769B1 (en) * 2001-12-14 2004-02-03 Altera Corporation Programmable I/O element circuit for high speed logic devices
US7154298B1 (en) * 2001-12-14 2006-12-26 Lattice Semiconductor Corporation Block-oriented architecture for a programmable interconnect circuit
US6674303B1 (en) * 2001-12-14 2004-01-06 Lattice Semiconductor Corporation Programmable input/output cell with bidirectional and shift register capabilities
US6650141B2 (en) * 2001-12-14 2003-11-18 Lattice Semiconductor Corporation High speed interface for a programmable interconnect circuit
DE60239588D1 (en) * 2001-12-28 2011-05-12 Fujitsu Semiconductor Ltd Programmable logic circuit with ferroelectric configuration memory
US6817001B1 (en) 2002-03-20 2004-11-09 Kudlugi Muralidhar R Functional verification of logic and memory circuits with multiple asynchronous domains
US7154299B2 (en) 2002-04-05 2006-12-26 Stmicroelectronics Pvt. Ltd. Architecture for programmable logic device
US6701494B2 (en) * 2002-05-01 2004-03-02 Adc Dsl Systems, Inc. Method of using testbench tests to avoid task collisions in hardware description language
US7073158B2 (en) * 2002-05-17 2006-07-04 Pixel Velocity, Inc. Automated system for designing and developing field programmable gate arrays
US7109749B2 (en) * 2002-05-29 2006-09-19 Stmicroelectronics, Pvt. Ltd. Programmable logic devices providing reduced power consumption
US6970012B2 (en) 2002-06-10 2005-11-29 Xilinx, Inc. Programmable logic device having heterogeneous programmable logic blocks
US6810513B1 (en) 2002-06-19 2004-10-26 Altera Corporation Method and apparatus of programmable interconnect array with configurable multiplexer
US6851101B1 (en) * 2002-06-20 2005-02-01 Xilinx, Inc. Method for computing and using future costing data in signal routing
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US7028281B1 (en) * 2002-07-12 2006-04-11 Lattice Semiconductor Corporation FPGA with register-intensive architecture
US6650142B1 (en) 2002-08-13 2003-11-18 Lattice Semiconductor Corporation Enhanced CPLD macrocell module having selectable bypass of steering-based resource allocation and methods of use
US7096378B2 (en) * 2002-08-29 2006-08-22 Freescale Semiconductor, Inc. Data storage system having a non-volatile IC based memory for storing user data
US6714041B1 (en) * 2002-08-30 2004-03-30 Xilinx, Inc. Programming on-the-fly (OTF)
US6938225B2 (en) * 2002-09-04 2005-08-30 Intel Corporation Scan design for double-edge-triggered flip-flops
US6667635B1 (en) 2002-09-10 2003-12-23 Xilinx, Inc. FPGA lookup table with transmission gate structure for reliable low-voltage operation
US6829756B1 (en) 2002-09-23 2004-12-07 Xilinx, Inc. Programmable logic device with time-multiplexed interconnect
US7107568B2 (en) 2002-10-07 2006-09-12 Hewlett-Packard Development Company, Lp. System and method for reducing wire delay or congestion during synthesis of hardware solvers
GB0224023D0 (en) * 2002-10-16 2002-11-27 Roysmith Graeme Reconfigurable integrated circuit
US7571303B2 (en) * 2002-10-16 2009-08-04 Akya (Holdings) Limited Reconfigurable integrated circuit
US7084666B2 (en) * 2002-10-21 2006-08-01 Viciciv Technology Programmable interconnect structures
US6842039B1 (en) 2002-10-21 2005-01-11 Altera Corporation Configuration shift register
KR100472726B1 (en) 2002-10-29 2005-03-10 주식회사 하이닉스반도체 Semiconductor memory device for high speed data access and method for operating the same
US6927601B1 (en) * 2002-11-21 2005-08-09 Altera Corporation Flexible macrocell interconnect
US6920627B2 (en) * 2002-12-13 2005-07-19 Xilinx, Inc. Reconfiguration of a programmable logic device using internal control
US6800884B1 (en) 2002-12-30 2004-10-05 Actel Corporation Inter-tile buffer system for a field programmable gate array
US6943605B2 (en) 2002-12-31 2005-09-13 Intel Corporation Scan cell designs for a double-edge-triggered flip-flop
US6798240B1 (en) 2003-01-24 2004-09-28 Altera Corporation Logic circuitry with shared lookup table
ITMI20030276A1 (en) 2003-02-14 2004-08-15 St Microelectronics Srl OPTIMIZED ARCHITECTURE FOR A GATE CONNECTION BLOCK
WO2004075409A1 (en) 2003-02-19 2004-09-02 Koninklijke Philips Electronics N.V. Electronic circuit with array of programmable logic cells
US6809979B1 (en) 2003-03-04 2004-10-26 Fernandez & Associates, Llp Complete refresh scheme for 3T dynamic random access memory cells
US6720813B1 (en) * 2003-03-17 2004-04-13 Sun Microsystems, Inc. Dual edge-triggered flip-flop design with asynchronous programmable reset
EP1623448B1 (en) 2003-03-19 2016-12-14 Mentor Graphics Corporation Retimimg circuits using a cut-based approach
WO2004090759A2 (en) 2003-04-03 2004-10-21 Tufts University Circuit having hardware threading
US7162704B2 (en) 2003-05-09 2007-01-09 Synplicity, Inc. Method and apparatus for circuit design and retiming
US6894527B1 (en) * 2003-05-12 2005-05-17 Xilinx, Inc. Evolved circuits for bitstream protection
KR100525460B1 (en) 2003-05-23 2005-10-31 (주)실리콘세븐 SRAM compatable memory having three SAs between two memory blocks and performing REFRESH operation in which the inducing and the rewriting operation are performed seperately and Operating Method thereof
US6838902B1 (en) * 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US7149996B1 (en) 2003-07-11 2006-12-12 Xilinx, Inc. Reconfigurable multi-stage crossbar
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US6882182B1 (en) * 2003-09-23 2005-04-19 Xilinx, Inc. Tunable clock distribution system for reducing power dissipation
US7088134B1 (en) 2003-11-06 2006-08-08 Lattice Semiconductor Corporation Programmable logic device with flexible memory allocation and routing
US7088136B1 (en) 2003-11-06 2006-08-08 Altera Corporation Programmable logic device latch circuits
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
US7308470B2 (en) 2003-12-05 2007-12-11 Intel Corporation Smaller and lower power static mux circuitry in generating multiplier partial product signals
JP4104538B2 (en) * 2003-12-22 2008-06-18 三洋電機株式会社 Reconfigurable circuit, processing device provided with reconfigurable circuit, function determination method of logic circuit in reconfigurable circuit, circuit generation method, and circuit
US6956399B1 (en) 2004-02-05 2005-10-18 Xilinx, Inc. High-speed lookup table circuits and methods for programmable logic devices
US7383529B2 (en) 2004-02-13 2008-06-03 The Regents Of The University Of California Method and apparatus for designing circuits using high-level synthesis
US7193440B1 (en) * 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US7126373B1 (en) 2004-02-14 2006-10-24 Herman Schmit Configurable logic circuits with commutative properties
US7126381B1 (en) 2004-02-14 2006-10-24 Herman Schmit VPA interconnect circuit
US7109752B1 (en) * 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US7157933B1 (en) * 2004-02-14 2007-01-02 Herman Schmit Configurable circuits, IC's, and systems
US7167025B1 (en) * 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7193432B1 (en) * 2004-02-14 2007-03-20 Herman Schmit VPA logic circuits
US7098707B2 (en) 2004-03-09 2006-08-29 Altera Corporation Highly configurable PLL architecture for programmable logic
US6992505B1 (en) * 2004-03-09 2006-01-31 Xilinx, Inc. Structures and methods of implementing a pass gate multiplexer with pseudo-differential input signals
US7126372B2 (en) 2004-04-30 2006-10-24 Xilinx, Inc. Reconfiguration port for dynamic reconfiguration—sub-frame access for reconfiguration
US6998872B1 (en) * 2004-06-02 2006-02-14 Xilinx, Inc. Lookup table circuit optionally configurable as two or more smaller lookup tables with independent inputs
US7193438B1 (en) 2004-06-30 2007-03-20 Andre Rohe Configurable integrated circuit with offset connection
US7075333B1 (en) * 2004-08-24 2006-07-11 Xilinx, Inc. Programmable circuit optionally configurable as a lookup table or a wide multiplexer
JP4553185B2 (en) 2004-09-15 2010-09-29 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device
US7129747B1 (en) * 2004-10-15 2006-10-31 Xilinx, Inc. CPLD with fast logic sharing between function blocks
US7342415B2 (en) * 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7295037B2 (en) 2004-11-08 2007-11-13 Tabula, Inc. Configurable IC with routing circuits with offset connections
US7330050B2 (en) * 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
US7496879B2 (en) * 2004-12-01 2009-02-24 Tabula, Inc. Concurrent optimization of physical design and operational cycle assignment
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7310003B2 (en) * 2005-03-15 2007-12-18 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US7224182B1 (en) * 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US7530033B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7298169B2 (en) 2005-03-15 2007-11-20 Tabula, Inc Hybrid logic/interconnect circuit in a configurable IC
US8185905B2 (en) 2005-03-18 2012-05-22 International Business Machines Corporation Resource allocation in computing systems according to permissible flexibilities in the recommended resource requirements
US7724027B2 (en) 2005-03-31 2010-05-25 Rozas Guillermo J Method and system for elastic signal pipelining
FR2884080B1 (en) 2005-04-05 2007-05-25 Iroc Technologies Sa ELECTRONIC CIRCUIT ASSEMBLY PROTECTS FROM TRANSIENT DISTURBANCES
US7818705B1 (en) 2005-04-08 2010-10-19 Altera Corporation Method and apparatus for implementing a field programmable gate array architecture with programmable clock skew
US7512850B2 (en) 2005-07-15 2009-03-31 Tabula, Inc. Checkpointing user design states in a configurable IC
US7212448B1 (en) * 2005-07-19 2007-05-01 Xilinx, Inc. Method and apparatus for multiple context and high reliability operation of programmable logic devices
US7372297B1 (en) * 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7489162B1 (en) * 2005-12-01 2009-02-10 Tabula, Inc. Users registers in a reconfigurable IC
US7679401B1 (en) * 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US7245150B2 (en) 2005-12-15 2007-07-17 P.A. Semi, Inc. Combined multiplex or/flop
US8182005B2 (en) 2007-01-12 2012-05-22 Tong Lung Metal Industry Co., Ltd. Cylinder lock with reinforcements to improve structural strength
US7525344B2 (en) * 2007-03-20 2009-04-28 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US7743354B2 (en) 2007-05-02 2010-06-22 Cadence Design Systems, Inc. Optimizing integrated circuit design through use of sequential timing information
US8219305B2 (en) 2008-05-27 2012-07-10 Briggs & Stratton Corporation Engine with an automatic choke and method of operating an automatic choke for an engine
EP2345160A4 (en) 2008-09-17 2016-11-16 Altera Corp Controllable storage elements for an ic

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847577A (en) * 1995-02-24 1998-12-08 Xilinx, Inc. DRAM memory cell for programmable logic devices
US6807660B1 (en) * 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation
US7116131B1 (en) * 2004-09-15 2006-10-03 Xilinx, Inc. High performance programmable logic devices utilizing dynamic circuitry

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2140548A4 *

Also Published As

Publication number Publication date
US20100001759A1 (en) 2010-01-07
US20120139579A1 (en) 2012-06-07
US7514957B2 (en) 2009-04-07
EP2140548A2 (en) 2010-01-06
WO2008115243A2 (en) 2008-09-25
US7525344B2 (en) 2009-04-28
US20080231314A1 (en) 2008-09-25
EP2597776A2 (en) 2013-05-29
EP2140548A4 (en) 2010-06-09
US8093922B2 (en) 2012-01-10
US9490814B2 (en) 2016-11-08
EP2597776A3 (en) 2014-08-20
EP2597777A2 (en) 2013-05-29
US20150077158A1 (en) 2015-03-19
US7521959B2 (en) 2009-04-21
US20080231318A1 (en) 2008-09-25
US20080231315A1 (en) 2008-09-25
EP2597777A3 (en) 2014-08-20
US8723549B2 (en) 2014-05-13

Similar Documents

Publication Publication Date Title
WO2008115243A3 (en) Configurable ic having a routing fabric with storage elements
WO2008131142A3 (en) System level interconnect with programmable switching
GB2432759B (en) Audio device
TW200745890A (en) VLIW acceleration system using multi-state logic
MY152413A (en) Automated real-time data stream switching in a shared virtual area communication environment
WO2008016488A3 (en) Virtual readers for scalable rfid infrastructures
DE602005011574D1 (en) HIGH VOLTAGE DRIVER SWITCHING WITH FAST READING
WO2010068873A3 (en) High speed conditional back bias virtual ground restoration circuit
EP1779937A3 (en) Pigging system
TW200741231A (en) Runtime reconfiguration of reconfigurable circuits
EP1751859A4 (en) Reconfigurable chip level equalizer architecture for multiple antenna systems
TW200737712A (en) Common input/output terminal control circuit
GB0526225D0 (en) Integrated circuit with input/output pads
EP1764921A3 (en) Programmable logic device architecture for accommodating specialized circuitry
JP2007067377A5 (en)
TW200715572A (en) Integrated circuit device and electronic instrument
WO2007051571A3 (en) Monolithically integrated circuit
GB0507821D0 (en) Bias circuits
TW200746170A (en) Memory circuit
TW200713271A (en) Semiconductor memory device
EP1715584A4 (en) Level shift circuit and semiconductor integrated circuit having the same
WO2003098235A3 (en) Method and system to distribute clock signals in digital circuits
Geem et al. Harmony search for layout of rectilinear branched networks.
GB2467873A (en) A semiconductor integrated circuit device including a revision number indicating the design version of said device and a method of prototyping a semiconductor
ES2184608A1 (en) Complex organic-mineral fertilizers

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07797815

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2007797815

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007797815

Country of ref document: EP