WO2009018059A3 - Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures - Google Patents

Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures Download PDF

Info

Publication number
WO2009018059A3
WO2009018059A3 PCT/US2008/070932 US2008070932W WO2009018059A3 WO 2009018059 A3 WO2009018059 A3 WO 2009018059A3 US 2008070932 W US2008070932 W US 2008070932W WO 2009018059 A3 WO2009018059 A3 WO 2009018059A3
Authority
WO
WIPO (PCT)
Prior art keywords
spacers
negative photoresist
semiconductor fabrication
associated structures
mask overlay
Prior art date
Application number
PCT/US2008/070932
Other languages
French (fr)
Other versions
WO2009018059A2 (en
Inventor
Luan C Tran
Original Assignee
Micron Technology Inc
Luan C Tran
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc, Luan C Tran filed Critical Micron Technology Inc
Publication of WO2009018059A2 publication Critical patent/WO2009018059A2/en
Publication of WO2009018059A3 publication Critical patent/WO2009018059A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

Spacers (175) are formed by pitch multiplication and a layer of negative photoresist (200) is deposited on and over the spacers (175) to form additional mask features. The deposited negative photoresist layer (200) is patterned, thereby removing photoresist from between the spacers (175) in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers (175) is facilitated. The pattern defined by the spacers (175) and the patterned negative photoresist is transferred to one or more underlying masking layers (130), (140) before being transferred to a substrate (110).
PCT/US2008/070932 2007-07-31 2008-07-23 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures WO2009018059A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/831,012 US8563229B2 (en) 2007-07-31 2007-07-31 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US11/831,012 2007-07-31

Publications (2)

Publication Number Publication Date
WO2009018059A2 WO2009018059A2 (en) 2009-02-05
WO2009018059A3 true WO2009018059A3 (en) 2009-04-02

Family

ID=40305195

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/070932 WO2009018059A2 (en) 2007-07-31 2008-07-23 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Country Status (3)

Country Link
US (2) US8563229B2 (en)
TW (1) TW200913016A (en)
WO (1) WO2009018059A2 (en)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR20100106501A (en) * 2007-12-21 2010-10-01 램 리써치 코포레이션 Etch with high etch rate resist mask
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2009295785A (en) * 2008-06-05 2009-12-17 Toshiba Corp Method of manufacturing semiconductor device
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5275093B2 (en) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 Substrate processing method
US8026179B2 (en) * 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8039340B2 (en) * 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
JP5395757B2 (en) * 2010-07-08 2014-01-22 株式会社東芝 Pattern formation method
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
FR2990794B1 (en) * 2012-05-16 2016-11-18 Commissariat Energie Atomique METHOD FOR PRODUCING A SUBSTRATE WITH VARIED ACTIVE ZONES AND PLANAR AND THREE DIMENSIONAL TRANSISTORS
JP6236918B2 (en) * 2012-06-26 2017-11-29 大日本印刷株式会社 Method for producing template for nanoimprint
US8865600B2 (en) * 2013-01-04 2014-10-21 Taiwan Semiconductor Manufacturing Company Limited Patterned line end space
JP2014135417A (en) * 2013-01-11 2014-07-24 Canon Inc Method for forming pattern and method for manufacturing article using the same
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
US9312191B2 (en) 2014-08-14 2016-04-12 Globalfoundries Inc. Block patterning process for post fin
US9412612B2 (en) * 2014-08-29 2016-08-09 Macronix International Co., Ltd. Method of forming semiconductor device
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP2020502786A (en) * 2016-12-16 2020-01-23 ザ ガバメント オブ ザ ユナイテッド ステイツ オブ アメリカ,アズ リプレゼンテッド バイ ザ セクレタリー オブ ザ ネイビー Selective oxidation of transition metal nitride layers in compound semiconductor device structures
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10559492B2 (en) * 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
CN107863318A (en) * 2017-11-22 2018-03-30 睿力集成电路有限公司 Integrated circuit patterns and forming method based on pitch-multiplied formation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
US20060262511A1 (en) * 2004-09-02 2006-11-23 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication

Family Cites Families (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237Y2 (en) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (en) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart METHOD FOR PRODUCING A THIN DIELECTRIC INSULATION IN A SILICON SEMICONDUCTOR BODY
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916U (en) 1987-08-28 1989-03-03
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
DE4034612A1 (en) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag METHOD FOR PRODUCING ORGANOSILANES CONTAINING METHACRYLOXY OR ACRYLOXY GROUPS
IT1243919B (en) 1990-11-20 1994-06-28 Cons Ric Microelettronica PROCEDURE FOR OBTAINING PLANARIZED SUBMICHROMETRIC GROOVES IN INTEGRATED CIRCUITS REALIZED WITH ULSI TECHNOLOGY
JPH05343370A (en) 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (en) 1992-10-29 1994-05-05 Siemens Ag Method for forming a structure in the surface of a substrate - with an auxiliary structure laterally bounding an initial masking structure, followed by selective removal of masking structure using the auxiliary structure as an etching mask
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (en) * 1994-07-14 1997-05-03 현대전자산업 주식회사 Fine patterning method
JPH0855920A (en) 1994-08-15 1996-02-27 Toshiba Corp Manufacture of semiconductor device
JPH0855908A (en) 1994-08-17 1996-02-27 Toshiba Corp Semiconductor device
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (en) * 1995-06-30 1999-06-01 김영환 Method of forming mosfet
JP3393286B2 (en) 1995-09-08 2003-04-07 ソニー株式会社 Pattern formation method
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (en) * 1996-08-21 2001-05-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (en) 1997-06-14 1999-11-15 문정환 Method for forming metal interconnector of semiconductor device
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (en) * 1997-12-11 2000-03-15 윤종용 Semiconductor device and method for manufacturing the same
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (en) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (en) * 1999-04-23 2000-11-02 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) * 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (en) 1999-06-15 2000-12-26 Toshiba Corp Semiconductor device and manufacture thereof
JP2001077196A (en) * 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (en) * 2000-05-29 2006-08-02 富士通株式会社 Semiconductor device and manufacturing method thereof
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (en) * 2000-09-20 2002-05-21 Obducat Ab Wet etching of substrate involves arranging on the substrate a passivating substance comprising active substance reacting with component contained in etchant to form etch protecting compound
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (en) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ Method of forming fine pattern, method of manufacturing semiconductor device, and semiconductor device
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (en) * 2001-08-31 2003-04-03 Infineon Technologies Ag Production of resist structures used in semiconductor industry comprises applying a resist film on a substrate, forming a resist structure with bars from the film, and removing reinforced sections
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (en) 2001-10-24 2003-05-09 Hitachi Ltd Semiconductor device and manufacturing method thereof
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (en) * 2002-02-20 2007-12-20 Infineon Technologies Ag Process for forming a hardmask in a layer on a flat disk
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (en) 2002-06-14 2005-03-17 삼성전자주식회사 Method Of Forming Fine Pattern Of Semiconductor Device
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP4034164B2 (en) 2002-10-28 2008-01-16 富士通株式会社 Method for manufacturing fine pattern and method for manufacturing semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (en) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
KR100536801B1 (en) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 Semiconductor device and fabrication method thereof
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (en) 2003-11-14 2005-06-09 Sony Corp Method of manufacturing semiconductor device
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR101002928B1 (en) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 Fabricating method of minute line in semiconductor device
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (en) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
KR100614651B1 (en) * 2004-10-11 2006-08-22 삼성전자주식회사 Apparatus And Method For Pattern Exposure, Photomask Used Therefor, Design Method For The Photomask, Illuminating System Therefor and Implementing Method For The Illuminating System
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (en) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 Capacitor of semiconductor device and method for forming the same
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (en) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 Composition for Hard Mask and Method for Forming Pattern of Semiconductor Device using it
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Semiconductor device having fine contact and method of manufacturing the same
KR100674970B1 (en) 2005-04-21 2007-01-26 삼성전자주식회사 Method for fabricating small pitch patterns by using double spacers
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (en) 2005-06-16 2006-12-28 Toshiba Corp Manufacturing method of semiconductor device
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (en) * 2005-09-30 2012-11-13 삼성전자주식회사 Method for forming patterns of semiconductor device
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (en) 2005-12-26 2007-05-02 삼성전자주식회사 Method of forming self aligned double pattern
KR100672123B1 (en) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 Method for forming micro pattern in semiconductor device
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
KR100763538B1 (en) 2006-08-29 2007-10-05 삼성전자주식회사 Method of forming mask pattern and method of forming fine pattern using the same in a semiconductor device fabricating
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (en) 2006-10-02 2008-01-03 삼성전자주식회사 Method of forming pad pattern using self-align double patterning method, and method of forming contact hole using self-align double patterning method
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
US20060262511A1 (en) * 2004-09-02 2006-11-23 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US20070148984A1 (en) * 2004-09-02 2007-06-28 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication

Also Published As

Publication number Publication date
WO2009018059A2 (en) 2009-02-05
US20140045125A1 (en) 2014-02-13
US9412591B2 (en) 2016-08-09
US8563229B2 (en) 2013-10-22
TW200913016A (en) 2009-03-16
US20090035665A1 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
WO2009018059A3 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
WO2008033695A3 (en) Efficient pitch multiplication process
WO2006026699A3 (en) Method for integrated circuit fabrication using pitch multiplication
WO2008091279A3 (en) Etching and hole arrays
TW200643609A (en) Pitch reduced patterns relative to photolithography features
WO2009085598A3 (en) Photoresist double patterning
TW200733225A (en) Method for forming fine pattern of semiconductor device
TW200802536A (en) Method of manufacturing semiconductor device
JP2010507261A5 (en)
WO2006104634A3 (en) Integrated circuit fabrication
TW200834245A (en) Method for manufacturing semiconductor device with four-layered laminate
JP2010503995A5 (en)
WO2008146869A3 (en) Pattern forming method, pattern or mold formed thereby
WO2008149989A1 (en) Patterning method
KR900002450A (en) Fine Line Width Formation Method Using Spacer
TW200610055A (en) Photolithography method to prevent photoresist pattern collapse
TW200643587A (en) Solid-state image pick-up device
WO2011011139A3 (en) Method and materials for reverse patterning
JP2007522673A5 (en)
DE602006020202D1 (en) Plasma etching process for a photomask using a protected mask
RU2012104621A (en) FORMATION OF THE FIGURE
WO2006063098A8 (en) Lift-off patterning processes employing energetically-stimulated local removal of solid-condensed-gas layers
WO2005013320A3 (en) A semiconductor device having an organic anti-reflective coating (arc) and method therefor
JP2006058497A5 (en)
WO2008024643A3 (en) Patterning non-planar surfaces

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08782278

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08782278

Country of ref document: EP

Kind code of ref document: A2