WO2009067149A1 - Method of creating a template employing a lift-off process - Google Patents

Method of creating a template employing a lift-off process Download PDF

Info

Publication number
WO2009067149A1
WO2009067149A1 PCT/US2008/012637 US2008012637W WO2009067149A1 WO 2009067149 A1 WO2009067149 A1 WO 2009067149A1 US 2008012637 W US2008012637 W US 2008012637W WO 2009067149 A1 WO2009067149 A1 WO 2009067149A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
layered structure
conducting layer
recited
hard mask
Prior art date
Application number
PCT/US2008/012637
Other languages
French (fr)
Inventor
Gerard Schmid
Douglas J. Resnick
Michael N. Miller
Original Assignee
Molecular Imprints, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc. filed Critical Molecular Imprints, Inc.
Priority to JP2010534938A priority Critical patent/JP2011505066A/en
Publication of WO2009067149A1 publication Critical patent/WO2009067149A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the imprint lithography technique disclosed in each of the aforementioned United States patent application publications and United States patent includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate.
  • the substrate may be positioned upon a stage to obtain a desired position to facilitate patterning thereof.
  • a mold is employed spaced-apart from the substrate with a formable liquid present between the mold and the substrate.
  • the liquid is solidified to form a patterned layer that has a pattern recorded therein that is conforming to a shape of the surface of the mold in contact with the liquid.
  • the mold is then separated from the patterned layer such that the mold and the substrate are spaced-apart.
  • the substrate and the patterned layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the patterned layer.
  • System 10 further comprises a fluid dispense system 32. Fluid dispense system 32 may be in fluid communication with substrate 12 so as to deposit polymeric material 34 thereon. System 10 may comprise any number of fluid dispensers, and fluid dispense system 32 may comprise a plurality of dispensing units therein.
  • the pattern of resist pattern layer 78 and hard mask material 76a may be transferred into substrate 12, and thus exposed portions 82 of conducting layer 60, shown in Fig. 6, and portions of substrate 12 in superimposition therewith may be removed.
  • the etching process may be a dry etch including both single step and multi-step process.
  • fluorine containing etch chemistries may be employed.
  • conducting layer 60 may be etched with a high selectivity to hard mask material 76a.
  • the etching of conducting layer 60 may be monitored in-situ by measuring a reflectance of exposed portions 82 of conducting layer 60 during etching.
  • an adhesion layer 86 may be positioned on multi-layered structure 662, shown in Fig. 8, defining a multi-layered structure 762.
  • adhesion layer 86 may be formed from Cr and may be deposited by methods including, but not limited to, sputtering and evaporation.
  • Adhesion layer 86 may have at thickness X 5 having a magnitude of 10-50 nm.

Abstract

A method of forming a lithographic template, the method including, inter alia, creating a multi-layered structure, by forming, on a body, a conducting layer, and forming on the conducting layer, a patterned layer having protrusions and recessions, the recessions exposing portions of the conducting layer; depositing a hard mask material anisotropically on the multi-layered structure covering a top surface of the patterned layer and the portions of the conducting layer; removing the patterned layer by a lift-off process, with the hard mask material remaining on the portions of the conducting layer; positioning a resist pattern on the multi-layered structure to define a region of the multi-layered structure; and selectively removing portions of the multi- layered structure in superimposition with the region using the hard mask material as an etching mask.

Description

METHOD OF CREATING A TEMPLATE EMPLOYING A LIFT-OFF
PROCESS
BACKGROUND INFORMATION
[0001] Nano-fabrication involves the fabrication of very small structures, e.g., having features on the order of nanometers or smaller. One area in which nano- fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, nano-fabrication becomes increasingly important. Nano-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed. Other areas of development in which nano-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
[0002] An exemplary nano-fabrication technique is commonly referred to as imprint lithography. Exemplary imprint lithography processes are described in detail in numerous publications, such as United States patent application publication 2004/0065976 filed as United States patent application 10/264,960, entitled "Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability"; United States patent application publication 2004/0065252 filed as United States patent application 10/264,926, entitled "Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"; and United States patent number 6,936,194, entitled "Functional Patterning Material for Imprint Lithography Processes," all of which are assigned to the assignee of the present invention. [0003J The imprint lithography technique disclosed in each of the aforementioned United States patent application publications and United States patent includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate. The substrate may be positioned upon a stage to obtain a desired position to facilitate patterning thereof. To that end, a mold is employed spaced-apart from the substrate with a formable liquid present between the mold and the substrate. The liquid is solidified to form a patterned layer that has a pattern recorded therein that is conforming to a shape of the surface of the mold in contact with the liquid. The mold is then separated from the patterned layer such that the mold and the substrate are spaced-apart. The substrate and the patterned layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the patterned layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0004] Fig. 1 is a simplified side view of a lithographic system having a mold spaced-apart from a multi-layered structure;
|0005] Fig. 2 is a simplified side view of the multi-layered structure shown in Fig. 1 comprising a substrate having a conducting layer positioned thereon;
[0006] Fig. 3 is a simplified side view of the multi-layered structure shown in
Fig. 2 having a patterning layer positioned thereon;
[0007] Fig. 4 is a simplified side view of the multi-layered structure shown in
Fig. 3 have a hard mask material deposited thereon; [0008] Fig. 5 is a simplified side view of the multi-layered structure shown in
Fig. 4 subjected to a lift-off process;
[0009] Fig. 6 is a simplified side view of the multi-layered structure shown in
Fig. 5 having a resist pattern layer positioned thereon;
[0010] Fig. 7 is a simplified side view of the multi-layered structure shown in Fig. 6 having a pattern of the hard mask material and the resist pattern layer transferred into the conducting layer and the substrate;
[0011] Fig. 8 is a simplified side view of the multi-layered structure shown in
Fig. 7 having the hard mask material and the resist pattern layer substantially removed; [0012] Fig. 9 is a simplified side view of the multi-layered structure shown in
Fig. 8 having an adhesion layer deposited thereon;
[0013] Fig. 10 is a simplified side view of the multi-layered structure shown in Fig. 9 having an imaging layer positioned thereon;
[0014] Fig. 1 1 is a simplified side view of the multi-layered structure shown in Fig. 10 having a pattern of the imaging layer transferred into the adhesion layer;
[0015] Fig. 12 is a simplified side view of the multi-layered structure shown in Fig. 1 1 having a pattern of the imaging layer transferred into the substrate; and [0016] Fig. 13 is a simplified side view of the multi-layered structure shown in Fig. 12 having the conducting layer, the adhesion layer, and the imaging layer substantially removed.
DETAILED DESCRIPTION
[0017] Referring to Fig. 1, a system 10 to form a relief pattern on a substrate
12 is shown. Substrate 12 may be coupled to a substrate chuck 14, described further below. Substrate 12 and substrate chuck 14 may be supported upon a stage 16. Further, stage 16, substrate 12, and substrate chuck 14 may be positioned on a base (not shown). Stage 16 may provide motion about the x and y axes.
[0018] Spaced-apart from substrate 12 is a template 18 having a mesa 20 extending therefrom towards substrate 12 with a patterning surface 22 thereon. Further, mesa 20 may be referred to as a mold 20. Mesa 20 may also be referred to as a nanoimprint mold 20. In a further embodiment, template 18 may be substantially absent of mold 20. Template 18 and/or mold 20 may be formed from such materials including, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and hardened sapphire. As shown, patterning surface 22 comprises features defined by a plurality of spaced- apart recesses 24 and protrusions 26. However, in a further embodiment, patterning surface 22 may be substantially smooth and/or planar. Patterning surface 22 may define an original pattern that forms the basis of a pattern to be formed on substrate 12.
[0019] Template 18 may be coupled to a template chuck 28, template chuck
28 being any chuck including, but not limited to, vacuum, pin-type, groove-type, or electromagnetic, as described in United States patent 6,873,087 entitled "High-
Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes" which is incorporated herein by reference. Further, template chuck 28 may be coupled to an imprint head 30 to facilitate movement of template 18, and therefore, mold 20. [0020] System 10 further comprises a fluid dispense system 32. Fluid dispense system 32 may be in fluid communication with substrate 12 so as to deposit polymeric material 34 thereon. System 10 may comprise any number of fluid dispensers, and fluid dispense system 32 may comprise a plurality of dispensing units therein. Polymeric material 34 may be positioned upon substrate 12 using any known technique, e.g., drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and the like. Typically, polymeric material 34 is disposed upon substrate 12 before the desired volume is defined between mold 20 and substrate 12. However, polymeric material 34 may fill the volume after the desired volume has been obtained. [0021] System 10 further comprises a source 38 of energy 40 coupled to direct energy 40 along a path 42. Imprint head 30 and stage 16 are configured to arrange mold 20 and substrate 12, respectively, to be in superimposition and disposed in path 42. Either imprint head 30, stage 16, or both vary a distance between mold 20 and substrate 12 to define a desired volume therebetween that is filled by polymeric material 34. After the desired volume is filled with polymeric material 34, source 38 produces energy 40, e.g., broadband ultraviolet radiation that causes polymeric material 34 to solidify and/or cross-link conforming to the shape of a surface 44 of substrate 12 and patterning surface 22. Source 38 may produce ultraviolet energy. However, other energy sources may be employed, such as thermal, electromagnetic, visible light and the like. The selection of energy employed to initiate polymerization of polymeric material 34 is known to one skilled in the art and typically depends on the specific application which is desired. System 10 may be regulated by a processor 54 that is in data communication with stage 16, imprint head 30, fluid dispense system 32, and source 38, operating on a computer readable program stored in memory 56. [0022] The above-mentioned may be further be employed in an imprint lithography process and system referred to in United States patent 6,932,934 entitled "Formation of Discontinuous Films During an Imprint Lithography Process;" United States patent 7,077,992 entitled "Step and Repeat Imprint Lithography Processes;" and United States patent 7,179,396, entitled "Positive Tone Bi-Layer Imprint Lithography Method"; and United States patent application publication 2004/0211754, filed as United States patent application 10/432,642 , entitled Method of Forming Stepped Structures Employing Imprint Lithography," all of which are incorporated by reference herein.
[0023] To that end, referring to Fig. 2, substrate 12 is shown having a conducting layer 60 positioned thereon, defining a multi-layered structure 62. In an embodiment, substrate 12 may be formed from fused silica; however, substrate 12 may be formed from any material. Substrate 12 may have a high quality optical surface with low roughness and defects and further a scratch/dig of 20/10 may be preferred. Substrate 12 may have a thickness ti, with thickness ti being substantially uniform over substrate 12. In an embodiment, thickness ti may be less than 1 mm to facilitate flexing/deformation of substrate 12 during processing thereof.
[0024] Conducting layer 60 may be formed using any known techniques, e.g., drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), and the like. Conducting layer 60 may have a thickness t2 to facilitate etch transfer through the same and be substantially uniform over substrate 12. In an example, thickness t2 may be less than 10 nm and have less than 5 nm roughness. Further, for a given material comprising conducting layer 60, thickness t2 may have a magnitude such that conducting layer 60 may be electroconductive, and thus, dissipate charge during e-beam lithographic exposure. In an example, a sheet resistance of less than 5 kilo-ohms/square is utilized. Further, conducting layer 60 may be etched substantially anisotropically in a suitable dry etch process. It may be further desired that conducting layer 60 be substantially stable after deposition and not prone to chemical or physical transformations, e.g., chemical oxidization or physical de-wetting. It may be further desired that conducting layer 60 is compatible with common cleaning processes, e.g., acid and/or base solution. It may be further desired that conducting layer 60 may be substantially resistant to interfusion or intermixing with substrate 12 or materials positioned thereon, described further below. It may be further desired that conducing layer 60 adhere to substrate 12 and any materials υ positioned thereon, described further below. [0025] Conducting layer 60 may be formed from materials including, but not limited to, tantalum, tungsten, molybdenum, titanium, tantalum nitride, tungsten nitride, titanium nitride, molybdenum nitride, tantalum suicide, tungsten suicide, titanium suicide, molybdenum suicide, tantalum silicon nitride, tungsten silicon nitride, titanium silicon nitride, and molybdenum silicon nitride. In a further embodiment, conducting layer 60 may be formed from alloy films of the above materials by such methods including, but not limited to, sputtering from an alloy target, reactive sputtering, reactive co-sputtering, and vacuum evaporation techniques. In the present example, conducting layer 60 may be formed from tantalum, and thickness t2 may have a magnitude of 5 nm. [0026] In a further embodiment, conducting layer 60 may be prone to form an undesirable oxide and thus, a capping layer (not shown) may be deposited upon conducting layer 60. The capping layer (not shown) may be formed from silicon and other materials that may form oxides that may be easily etched. [0027] Referring to Fig. 3, a patterning layer 64 may be positioned on conducting layer 60 such that conducting layer 60 is positioned between substrate 12 and patterning layer 64, defining a multi-layered structure 162. Patterning layer 64 may have a plurality of protrusions 66 and recessions 68, with recessions 68 exposing portions 70 of conducting layer 60. Further, protrusions 66 may have a top surface 72 and sidewalls 74. Patterning layer 64 may have a thickness I3 with a magnitude of 45 nm being preferred; however, any thickness may be employed depending on the specific application and desired patterning resolution. Patterning layer 64 may be formed using e-beam lithography. Patterning layer 64 may be a positive-tone electron resist such as ZEP520A available from Nippon Zeon Corporation or 950k MW poly methyl methacrylate (PMMA) electron beam resist.
[0028] In an example, patterning layer 64 may be exposed in an electron beam lithography tool such as a Vistec VB6HR operating at 100 kV, 2 nm beam step grid, and 0.1-1 nA beam current. To that end, a possible exposure pattern may be patterning layer 64 comprising 25 nm diameter dots and on a pitch of 50 nm. One method for developing the ZEP520A resist is immersion in amyl acetate at a temperature of -10 to 10 degrees Celsius for 5 to 120 seconds. One method for developing the PMMA is immersion in a mixture of isopropyl alcohol and water at a temperature of -10 to 10 degrees Celsius for 5 to 120 seconds. It may be possible to employ ultrasonic agitation at 30-50 kHz during development. Further, an anisotropic descum etch maybe employed to remove resist residues from the exposed surfaces of conducting layer 60.
[0029] Referring to Figs. 4 and 5, a lift-off technique may be employed on multi-layered structure 162, shown in Fig. 3. More specifically, etch-enhanced lift-off processing may be employed, as described in United States patent application 1 1/856,862, entitled "Etch-Enhanced Technique for Lift-Off Patterning", which is incorporated herein by reference. To that end, referring to Fig. 4, a hard mask material 76 may be positioned on multi-layered structure 162, shown in Fig. 3, defining multi- layered structure 262. Hard mask material 76a may be deposited directly on portions deposited on surface 72 of patterning layer 64, shown in Fig. 3. In an embodiment, hard mask material 76 may be positioned on multi-layered structure 162, shown in Fig. 3, employing a directional deposition process, such as vacuum evaporation. [0030J Hard mask material 76 may have a thickness t4 of approximately 10 nm and less than 5 nm roughness. Hard mask material 76 may provide selective etching of conducting layer 60 and substrate 12 without significant etching or erosion of hard mask material 76. It may be further desired that hard mask material 76 may be removed from multi-layered structure 262 with high selectively. It may be further desired that hard mask material 76 should adhere to portions 70 of conducting layer 60. It may be further desired that hard mask material 76 be substantially stable after deposition and not prone to chemical or physical transformations, e.g., chemical oxidization or physical de-wetting. It may be further desired that hard mask material 76 is compatible with common cleaning processes, e.g., acid and/or base solution. [0031] Hard mask material 76 may be formed from materials including, but not limited to, chromium, nickel, platinum, or alloys thereof. Chromium may be readily evaporated, is well-suited for isotropic etching, and is a well-known etch mask material for fused silica (substrate 12). [0032] Referring to Figs. 3 and 4, hard mask material 76 may be positioned upon sidewalls 74 of patterned layer 64, which may be undesirable. To remove hard mask material 76 positioned on sidewalls 74 of patterned layer 64, multi-layered structure 262 may be subjected to an isotropic dry etch. One isotropic dry etch comprises reactive ion etch processing at 30 volts DC (Direct Current) bias with a gas flow rate of 60 seem Cl2 and 20 seem O2, at a pressure of 90 mT. [0033] Referring to Fig. 5, to complete the lift-off process, one process comprises immersing multi-layered structure 262, shown in Fig. 4, in a solvent that is known to rapidly dissolve patterning layer 64, defining multi-layered structure 362. One solvent for PMMA is dichloromethane. One solvent for ZEP520A is dimethylacetamide. In one implementation, the lift-off process may be performed in an ultrasonic bath at 30-50 kHz to facilitate the lift-off process. Multi-layered structure 362 may be subsequently rinsed with isopropanol.
[0034] Referring to Fig. 6, a resist pattern layer 78 may be positioned on multi-layered structure 362, shown in Fig. 5, defining a multi-layered structure 462. Resist pattern layer 78 defines a region 80 of multi-layered structure 462, region 80 including hard mask material 76a and exposed portions 82 of conducting layer 60. Resist pattern layer 78 may be formed using optical lithography or any other lithography process. [0035J Referring to Fig. 7, multi-layered structure 462, shown in Fig. 6, may be subjected to an etching process to transfer the features thereof into substrate 12, defining multi-layered structure 562. More specifically, the pattern of resist pattern layer 78 and hard mask material 76a may be transferred into substrate 12, and thus exposed portions 82 of conducting layer 60, shown in Fig. 6, and portions of substrate 12 in superimposition therewith may be removed. To that end, the etching process may be a dry etch including both single step and multi-step process. In an embodiment, fluorine containing etch chemistries may be employed. Further, conducting layer 60 may be etched with a high selectivity to hard mask material 76a. [0036] In a further embodiment, the etching of conducting layer 60 may be monitored in-situ by measuring a reflectance of exposed portions 82 of conducting layer 60 during etching. This measurement may be performed by focusing a source of light (not shown) onto exposed portions 82 and monitoring light reflected therefrom with a detector (not shown). The reflectance of exposed portions 82 of conducting layer 60 may vary as the thickness t2 of conducting layer 60, shown in Fig. 2, may be reduced by etching thereof. The measured reflectance of exposed portions 82 of conducting layer 60 may exhibit an inflection at a time at which exposed portions 82 of conducting layer 60 may be substantially removed from multi-layered structure 462, shown in Fig. 6, and thus, indicating that the etching process may be removing the now-exposed substrate 12. An in-situ measurement of this inflection time may facilitate precise control of the etch depth into substrate 12.
[0037] Referring to Fig. 8, hard mask material 76a and resist pattern layer 78, both shown in Fig. 7, may be removed, defining multi-layered structure 662 and features 84. A process for removing resist pattern layer 78, shown in Fig. 7, is immersing multi-layered structure 562, shown in Fig. 7, in a hot piranha solution (3 parts H2SO4 and 1 part H2O2) for 5 minutes or more. As mentioned previously, one material for hard mask material 76 is chromium, and thus, a method of removing chromium is immersing multi-layered structure 562, shown in Fig. 7, in an aqueous solution comprising eerie ammonium nitrate. [0038] Referring to Fig. 9, a mesa may be defined on multi-layered structure
662, shown in Fig. 8. To define the mesa, an adhesion layer 86 may be positioned on multi-layered structure 662, shown in Fig. 8, defining a multi-layered structure 762. In one embodiment, adhesion layer 86 may be formed from Cr and may be deposited by methods including, but not limited to, sputtering and evaporation. Adhesion layer 86 may have at thickness X5 having a magnitude of 10-50 nm.
[0039] Referring to Fig. 10, an imaging layer 88 may be positioned on multi- layered structure 762, shown in Fig. 9, defining a multi-layered structure 862. More specifically, imaging layer 88 may be positioned on a region 90 of multi-layered structure 862, with region 90 being in superimposition with features 84, shown in Fig. 8. Imaging layer 88 may be formed with optical lithography. [0040] Referring to Fig. 1 1, multi-layered structure 862, shown in Fig. 10, may be subjected to an etching process to transfer the pattern of imaging layer 88 into adhesion layer 86, defining multi-layered structure 962, exposing portions 87 of conducting layer 60. As mentioned above, one material for adhesion layer 86 is chromium, and thus, one method for etching chromium is by is a wet etch process that comprises immersing multi-layered structure 862, shown in Fig. 10, in an aqueous solution comprising eerie ammonium nitrate. [0041] Referring to Fig. 12, multi-layered structure 962, shown in Fig. 11, may be subjected to an etching process to transfer the pattern of imaging layer 88 and adhesion layer 86 into substrate 12, defining multi-layered structure 1062. Thus, exposed portions 87 of conducting layer 60, shown in Fig. 1 1, and portions of substrate 12 in superimposition therewith may be removed. One process for etching conducting layer 60 is a dry etch to substantially remove exposed portions of conducting layer 60. One process for etching substrate 12 is a wet etch in an aqueous buffered HF acid solution. However, a wet etch of substrate 12 may result in undercut of substrate 12 under adhesion layer 86 and resist layer 88. Furthermore, in an example, substrate 12 may be etched approximately 15 microns and subsequently rinsed thoroughly in deionized water. [0042] Referring to Fig. 13, imaging layer 88, adhesion layer 86, and conducting layer 60, all shown in Fig. 12, may be removed, defining multi-layered structure 1162. Conducting layer 60 may be removed from substrate 12 with a process that is substantially selective. In an embodiment, conducting layer 60 may be removed employing noble gas halides, such as XeF2, XeF4, XeF6, KrF2, KrF4, and KrF6, as described in United States patent 4,190,488 entitled "Etching Method Using Noble Gas Halides" which is incorporated herein. In a further embodiment, polyatomic halogen fluorides may be employed, as described in United States patent 4,498,953 entitled "Etching Techniques" which is incorporated herein. In one embodiment, XeF2 (xenon difluroide) may be employed as it possesses an immeasurably low etch rate for fused silica (substrate 12). As a result, removal of conducting layer 60 may be performed with extremely high selectivity toward fused silica (substrate 12). In a further embodiment, it may be desired to have conducting layer 60 remain on substrate 12.
[0043] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. Therefore, the scope of the invention should not be limited by the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims

WHAT IS CLAIMED IS:
1. A method of forming a lithographic template, said method comprising: creating a multi-layered structure, by (i) forming, on a body, a conducting layer, and (ii) forming on said conducting layer, a patterned layer having protrusions and recessions, said recessions exposing portions of said conducting layer; depositing a hard mask material on said multi-layered structure, said deposited hard mask material covering a top surface of said patterned layer and said portions of said conducting layer; removing said patterned layer, with said hard mask material remaining on said portions of said conducting layer; positioning a resist pattern on said multi-layered structure to define a region of said multi-layered structure; and selectively removing portions of said multi-layered structure in superimposition with said region using said hard mask material as an etching mask.
2. The method as recited in claim 1 further comprising removing said hard mask material and said resist pattern.
3. The method as recited in claim 2 further comprising removing said conducting layer.
4. The method as recited in claim 2 further comprising defining a mesa in said body.
5. The method as recited in claim 2 further comprising positioning an adhesion layer on said multi-layered structure.
6. The method as recited in claim 5 further comprising positioning an imaging layer on said multi-layered structure, defining a mesa pattern, and selectively removing portions of said multi-layered structure using said imaging layer as an etching mask, and removing said imagining layer, said adhesion layer, and said conducting layer.
7. The method as recited in claim 1 wherein said conducting layer comprises a material selected from a set of materials consisting of tantalum, tungsten, molybdenum, titanium, tantalum nitride, tungsten nitride, titanium nitride, molybdenum nitride, tantalum suicide, tungsten suicide, titanium suicide, molybdenum suicide, tantalum silicon nitride, tungsten silicon nitride, titanium silicon nitride, molybdenum silicon nitride, or other refractory metal alloys.
8. The method as recited in claim 1 wherein said conducting layer has a thickness of less than 10 nanometers.
9. The method as recited in claim 1 wherein said hard mask material comprises a material selected from a set of materials consisting of chromium, nickel, platinum, and alloys thereof.
10. The method as recited in claim 3 wherein removing said conducting layer further includes gas-phase etching said conducting layer with a gas selected from a set of gases including xenon difluoride, xenon tetrafluoride, xenon hexafluoride, krypton difluoride, krypton tetrafluoride, krypton hexafluoride, polyatomic halogen fluoride, and a noble gas halide.
1 1. The method as recited in claim 1 wherein removing portions of said multi-layered structure further includes removing portions of said conducting layer and said substrate in a single step.
12. The method as recited in claim 11 wherein removing said portions of said conducting layer further includes monitoring a reflectance of a light impinged thereon.
13. A method of forming a lithographic template, said method comprising: creating a multi-layered structure by (i) forming, on a body, a conducting layer, and (ii) forming on said conducting layer, a patterned layer having protrusions and recessions, said recessions exposing portions of said conducting layer; depositing a hard mask material anisotropically on said multi-layered structure, covering a top surface of said patterned layer and said portions of said conducting layer; removing said patterned layer by a lift-off process, with said hard mask material remaining on said portions of said conducting layer; and selectively removing portions of said multi-layered structure using said hard mask material as an etching mask.
14. The method as recited in claim 13 further comprising removing said hard mask material.
15. The method as recited in claim 14 further comprising removing said conducting layer.
16. The method as recited in claim 14 further comprising defining a mesa in said body.
17. The method as recited in claim 14 further comprising positioning an adhesion layer on said multi-layered structure.
18. The method as recited in claim 17 further comprising positioning an imaging layer on said multi-layered structure, defining a mesa pattern, and selectively removing portions of said multi-layered structure using said imaging layer as an etching mask, and removing said imagining layer, said adhesion layer, and said conducting layer.
19. The method as recited in claim 13 wherein said conducting layer comprises a material selected from a set of materials consisting of tantalum, tungsten, molybdenum, titanium, tantalum nitride, tungsten nitride, titanium nitride, molybdenum nitride, tantalum suicide, tungsten suicide, titanium suicide, molybdenum suicide, tantalum silicon nitride, tungsten silicon nitride, titanium silicon nitride, molybdenum silicon nitride, or other refractory metal alloys.
20. The method as recited in claim 13 wherein said conducting layer has a thickness of less than 10 nanometers.
21. The method as recited in claim 13 wherein said hard mask material comprises a material selected from a set of materials consisting of chromium, nickel, and platinum.
22. A method of forming a lithographic template, said method comprising: creating a multi-layered structure, by forming, on a body, a conducting layer, and forming on said conducting layer, a patterned layer having protrusions and recessions, said recessions exposing portions of said conducting layer; depositing a hard mask material anisotropically on said multi-layered structure covering a top surface of said patterned layer and said portions of said conducting layer; removing said patterned layer by a lift-off process; positioning a resist pattern on said multi-layered structure to define a region of said multi-layered structure; selectively removing portions of said multi-layered structure in superimposition with said region using said hard mask material as an etching mask; removing said hard mask material and said resist pattern; positioning an adhesion layer on said multi-layered structure; and positioning an imaging layer on said multi-layered structure, defining a mesa pattern, and selectively removing portions of said multi-layered structure using said imagining layer as an etching mask, and removing said imaging layer, said adhesion layer, and said conducting layer.
23. The method as recited in claim 22 wherein said conducting layer comprises a material selected from a set of materials consisting of tantalum, tungsten, molybdenum, titanium, tantalum nitride, tungsten nitride, titanium nitride, molybdenum nitride, tantalum suicide, tungsten suicide, titanium suicide, molybdenum suicide, tantalum silicon nitride, tungsten silicon nitride, titanium silicon nitride, molybdenum silicon nitride, or other refractory metal alloys.
24. The method as recited in claim 22 wherein said conducting layer has a thickness of less than 10 nanometers.
25. The method as recited in claim 23 wherein said hard mask material comprises a material selected from a set of materials consisting of chromium, nickel, platinum, and alloys thereof.
PCT/US2008/012637 2007-11-21 2008-11-10 Method of creating a template employing a lift-off process WO2009067149A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010534938A JP2011505066A (en) 2007-11-21 2008-11-10 Method for generating a template using a lift-off process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/943,907 2007-11-21
US11/943,907 US7906274B2 (en) 2007-11-21 2007-11-21 Method of creating a template employing a lift-off process

Publications (1)

Publication Number Publication Date
WO2009067149A1 true WO2009067149A1 (en) 2009-05-28

Family

ID=40642344

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/012637 WO2009067149A1 (en) 2007-11-21 2008-11-10 Method of creating a template employing a lift-off process

Country Status (5)

Country Link
US (1) US7906274B2 (en)
JP (1) JP2011505066A (en)
KR (1) KR20100097100A (en)
TW (1) TW200933699A (en)
WO (1) WO2009067149A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7906274B2 (en) 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
JP2011215242A (en) * 2010-03-31 2011-10-27 Hoya Corp Method for forming resist pattern and method for manufacturing mold
US9346912B2 (en) 2011-09-09 2016-05-24 Samsung Electronics Co., Ltd. Polymer and organic light-emitting device including the same

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
JP5398163B2 (en) * 2008-04-04 2014-01-29 昭和電工株式会社 Magnetic recording medium, method for manufacturing the same, and magnetic recording / reproducing apparatus
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP5123349B2 (en) * 2010-04-19 2013-01-23 Hoya株式会社 Multi-tone mask manufacturing method
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
JP2012190827A (en) * 2011-03-08 2012-10-04 Toppan Printing Co Ltd Imprint mold, production method therefor, and patterned body
JP6019967B2 (en) * 2012-09-10 2016-11-02 大日本印刷株式会社 Pattern formation method
JP6019966B2 (en) * 2012-09-10 2016-11-02 大日本印刷株式会社 Pattern formation method
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same
CN111624851A (en) * 2020-06-16 2020-09-04 京东方科技集团股份有限公司 Imprint template and preparation method thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
US20050158900A1 (en) * 2004-01-16 2005-07-21 Shih-Wei Lee Fabrication method for liquid crystal display
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US20070026324A1 (en) * 2005-07-28 2007-02-01 Mitsubishi Electric Corporation Substrate with light-shielding film, color filter substrate, method of manufacture of both, and display device having substrate with light-shielding film
WO2007117519A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method for determining deformation parameters for a patterned device in a lithography system

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1146618A (en) * 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS6140845A (en) 1984-07-31 1986-02-27 Asahi Glass Co Ltd Low reflectance glass
JPH0553289A (en) * 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
US5348616A (en) * 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5817376A (en) * 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
US5853446A (en) * 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US5885514A (en) * 1996-12-09 1999-03-23 Dana Corporation Ambient UVL-curable elastomer mold apparatus
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6251207B1 (en) * 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6780001B2 (en) * 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6309957B1 (en) * 2000-04-03 2001-10-30 Taiwan Semiconductor Maufacturing Company Method of low-K/copper dual damascene
SE516414C2 (en) * 2000-05-24 2002-01-15 Obducat Ab Method of producing a template, as well as the template made from it
EP2264523A3 (en) * 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
AU2001290415A1 (en) 2000-09-18 2002-03-26 Obducat Aktiebolag Method of etching, as well as frame element, mask and prefabricated substrate element for use in such etching
KR101031528B1 (en) * 2000-10-12 2011-04-27 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Template for room temperature, low pressure micro- and nano- imprint lithography
US6783719B2 (en) * 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
DE10307518B4 (en) * 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP3914142B2 (en) * 2002-11-29 2007-05-16 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
EP1460738A3 (en) 2003-03-21 2004-09-29 Avalon Photonics AG Wafer-scale replication-technique for opto-mechanical structures on opto-electronic devices
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6808646B1 (en) * 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
US6852358B1 (en) * 2003-08-28 2005-02-08 Chang Chun Plastics Co., Ltd. Process for preparing an optical waveguide component from acrylate/titanium alkoxide composite material and the prepared optical waveguide component
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
JP4058425B2 (en) * 2004-06-10 2008-03-12 Tdk株式会社 Stamper, imprint method, and information recording medium manufacturing method
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US8850980B2 (en) * 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US7985530B2 (en) 2006-09-19 2011-07-26 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
JP2008293609A (en) * 2007-05-25 2008-12-04 Fujitsu Ltd Manufacturing method of stamper, manufacturing method of nanohole structure, and manufacturing method of magnetic recording medium
US7906274B2 (en) 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
US20050158900A1 (en) * 2004-01-16 2005-07-21 Shih-Wei Lee Fabrication method for liquid crystal display
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US20070026324A1 (en) * 2005-07-28 2007-02-01 Mitsubishi Electric Corporation Substrate with light-shielding film, color filter substrate, method of manufacture of both, and display device having substrate with light-shielding film
WO2007117519A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method for determining deformation parameters for a patterned device in a lithography system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7906274B2 (en) 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
JP2011215242A (en) * 2010-03-31 2011-10-27 Hoya Corp Method for forming resist pattern and method for manufacturing mold
US9346912B2 (en) 2011-09-09 2016-05-24 Samsung Electronics Co., Ltd. Polymer and organic light-emitting device including the same

Also Published As

Publication number Publication date
KR20100097100A (en) 2010-09-02
US20090130598A1 (en) 2009-05-21
TW200933699A (en) 2009-08-01
JP2011505066A (en) 2011-02-17
US7906274B2 (en) 2011-03-15

Similar Documents

Publication Publication Date Title
US7906274B2 (en) Method of creating a template employing a lift-off process
US8142703B2 (en) Imprint lithography method
US7547398B2 (en) Self-aligned process for fabricating imprint templates containing variously etched features
US8142850B2 (en) Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8545709B2 (en) Critical dimension control during template formation
US20070246850A1 (en) Method for Detecting a Particle in a Nanoimprint Lithography System
US8961800B2 (en) Functional nanoparticles
US20090014917A1 (en) Drop Pattern Generation for Imprint Lithography
WO2008082650A1 (en) Imprint fluid control
US11604409B2 (en) Template replication
US7985530B2 (en) Etch-enhanced technique for lift-off patterning
US20100109194A1 (en) Master Template Replication
US8512585B2 (en) Template pillar formation
WO2010047789A2 (en) Double sidewall angle nano-imprint template
KR101789921B1 (en) Method of manufacturing a nano thin-layer pattern structure
WO2005015311A2 (en) Near-field exposure method and apparatus, near-field exposure mask, and device manufacturing method
Rodas et al. Manufacturing of 3D submicronic structures at wafer scale
Mohamed Three-Dimensional Patterning Using Ultraviolet Curable Nanoimprint Lithography.
Mednikarov et al. Photolithographic structuring with evaporated inorganic photoresist
Garcia et al. Nanoimprint lithography for grayscale pattern replication of MEMS mirrors in a 200 mm wafer
Kettle et al. Fabrication of Step-and-Flash Imprint Lithography (S-FIL) templates using XeF 2 enhanced focused ion-beam etching
Klonner Stamp Fabrication for ultraviolet Nanoimprint Lithography

Legal Events

Date Code Title Description
DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08851865

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20107009469

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2010534938

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08851865

Country of ref document: EP

Kind code of ref document: A1