WO2009091786A1 - Pillar devices and methods of making thereof - Google Patents

Pillar devices and methods of making thereof Download PDF

Info

Publication number
WO2009091786A1
WO2009091786A1 PCT/US2009/030937 US2009030937W WO2009091786A1 WO 2009091786 A1 WO2009091786 A1 WO 2009091786A1 US 2009030937 W US2009030937 W US 2009030937W WO 2009091786 A1 WO2009091786 A1 WO 2009091786A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating layer
openings
forming
semiconductor
layer
Prior art date
Application number
PCT/US2009/030937
Other languages
French (fr)
Inventor
Vance Dunton
Brad S. Herner
Paul Wai Kie Poon
Chuanbin Pan
Michael Chan
Michael Konececki
Usha Raghuram
Christopher J. Petti
Original Assignee
Sandisk 3D Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/007,781 external-priority patent/US7906392B2/en
Priority claimed from US12/007,780 external-priority patent/US7745312B2/en
Application filed by Sandisk 3D Llc filed Critical Sandisk 3D Llc
Priority to CN2009801082434A priority Critical patent/CN101978497A/en
Publication of WO2009091786A1 publication Critical patent/WO2009091786A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66136PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/868PIN diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of making a semiconductor device includes providing an insulating layer containing a plurality of openings, forming a first semiconductor layer in the plurality of openings in the insulating layer and over the insulating layer, and removing a first portion of the first semiconductor layer, such that first conductivity type second portions of the first semiconductor layer remain in lower portions of the plurality of openings in the insulating layer, and upper portions of the plurality of openings in the insulating layer remain unfilled. The method also includes forming a second semiconductor layer in the upper portions of the plurality of openings in the insulating layer and over the insulating layer, and removing a first portion of the second semiconductor layer located over the insulating layer. The second conductivity type second portions of the second semiconductor layer remain in upper portions of the plurality of openings in the insulating layer to form a plurality of pillar shaped diodes in the plurality of openings.

Description

PILLAR DEVICES AND METHODS OF MAKING THEREOF
CROSS-REFERENCE TO RELATED PATENT APPLICATIONS
[0001] This application claims priority to U.S. Application Numbers 12/007,780 and 12/007,781, each filed on January 15, 2008, both of which are incorporated herein by reference in its entirety.
BACKGROUND
[0002] The present invention relates generally to the field of semiconductor device processing, and specifically to pillar devices and a method of making such devices.
[0003] Herner et al., US Patent Application No. 10/955,549 filed September 29, 2004 (which corresponds to US Published Application 2005/0052915 Al), hereby incorporated by reference, describes a three dimensional memory array in which the data state of a memory cell is stored in the resistivity state of the polycrystalline semiconductor material of a pillar shaped semiconductor junction diode. A subtractive method is used to fabricate such pillar diode devices. This method includes depositing one or more silicon, germanium or other semiconductor material layers. The deposited semiconductor layer or layers are then etched to obtain semiconductor pillars. A SiO2 layer can be used as a hard mask for the pillar etching and removed afterwards. Next, SiO2 or other gap fill dielectric material is deposited in between and on top of the pillars. A chemical mechanical polishing (CMP) or etchback step is then conducted to planarize the gap fill dielectric with the upper surface of the pillars.
[0004] For additional description of the subtractive pillar fabrication process, see Herner et al., US Patent Application No. 11/015,824, "Nonvolatile Memory Cell Comprising a Reduced Height Vertical Diode," filed Dec. 17, 2004 and US Patent Application No. 11/819,078 filed July 25, 2007.
[0005] However, in the subtractive method, for small diameter or width pillar type devices, care must be taken to avoid undercutting the pillar at its base during the etching step. Undercut pillar devices may be susceptible to falling over during subsequent processing. Furthermore, for smaller pillar devices, the height of the semiconductor pillar may be limited by thin and soft photoresist used as the etching mask, the oxide gap filling step presents a processing challenge when the aspect ratio of the openings between the pillars increases, and the CMP process or etchback of the gap fill layer may remove a significant thickness of the deposited semiconductor material.
SUMMARY
[0006] One embodiment of this invention provides a method of making a semiconductor device, which includes providing an insulating layer containing a plurality of openings and forming a first semiconductor layer in the plurality of openings in the insulating layer and over the insulating layer. The method also includes removing a first portion of the first semiconductor layer, such that first conductivity type second portions of the first semiconductor layer remain in lower portions of the plurality of openings in the insulating layer, and upper portions of the plurality of openings in the insulating layer remain unfilled. The method also includes forming a second semiconductor layer in the upper portions of the plurality of openings in the insulating layer and over the insulating layer, and removing a first portion of the second semiconductor layer located over the insulating layer. The second conductivity type second portions of the second semiconductor layer remain in upper portions of the plurality of openings in the insulating layer to form a plurality of pillar shaped diodes in the plurality of openings.
[0007] Another embodiment provides a method of making a semiconductor device, comprising forming a plurality of tungsten electrodes, nitriding the tungsten electrodes to form tungsten nitride barriers on the plurality of tungsten electrodes, forming an insulating layer comprising a plurality of openings such that the tungsten nitride barriers are exposed in the plurality of openings in the insulating layer, and forming a plurality of semiconductor devices on the tungsten nitride barriers in the plurality of openings in the insulating layer.
[0008] Another embodiment provides a method of making a semiconductor device, comprising forming a plurality of tungsten electrodes, selectively forming a plurality of conductive barriers on exposed upper surfaces of the tungsten electrodes, forming an insulating layer comprising a plurality of openings such that the plurality of conductive barriers are exposed in the plurality of openings in the insulating layer, and forming a plurality of semiconductor devices on the conductive barriers in the plurality of openings.
[0009] Another embodiment provides a method of making a semiconductor device, comprising forming a plurality of lower electrodes over a substrate, forming an insulating layer containing a plurality of first openings having a first width, such that the lower electrodes are exposed in the first openings, forming first semiconductor regions of a first conductivity type in the first openings, forming a sacrificial material in the plurality of first openings over the first semiconductor regions, forming a plurality of second openings in the insulating layer to expose the sacrificial material, the second openings having a second width greater than the first width, removing the sacrificial material from the first openings through the second openings, forming second semiconductor regions of a second conductivity type in the first openings, wherein the first and the second semiconductor regions form pillar shaped diodes in the first openings, and forming upper electrodes in the second openings in the insulating layer such that the upper electrodes contact the second semiconductor regions.
[0010] Another embodiment provides a method of making a pillar device which includes providing an insulating layer having an opening, and selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening to form the pillar device.
BRIEF DESCRIPTION OF THE DRAWINGS
[0011] Figures IA, 1C and IE are side cross-sectional views illustrating stages in formation of a pillar device according to the first embodiment of the present invention. Figures IB and ID are three dimensional views of the stages shown in Figures IA and 1 C, respectively.
[0012] Figures 2 A to 2C are side cross-sectional views illustrating stages in formation of a pillar device according to the second embodiment of the present invention. [0013] Figures 3A to 3E are side cross-sectional views illustrating stages in formation of a pillar device according to the third embodiment of the present invention.
[0014] Figures 3F and 3G are micrographs of exemplary devices made according to the third embodiment.
[0015] Figure 4 is a three dimensional view of a completed pillar device according to one or more embodiments of the present invention.
[0016] Figure 5 A is a prior art plot of etch rate versus polysilicon doping. Figures 5B to 5E are side cross-sectional views illustrating stages in formation of a pillar device according to the fourth embodiment of the present invention.
[0017] Figures 6A to 6G are side cross-sectional views illustrating stages in formation of a pillar device according to the fifth embodiment of the present invention.
[0018] Figures 7A and 7B are side cross-sectional views of device features made according to the embodiments of the present invention.
[0019] Figures 8A to 8D are side cross-sectional views illustrating stages in formation of a pillar device according to an embodiment of the present invention.
[0020] Figure 8E is a three dimensional view of a completed pillar device according to an embodiment of the present invention.
[0021] Figure 9 A is a cross-sectional SEM image of an about 40 nm thick Ge film deposited by GeH4 decomposition at 380 0C and 1 torr for 10 min on a silicon seed film which was deposited by SiH4 decomposition on TiN at 380 0C and 1 torr for 60 min. Figure 9B is a cross-sectional SEM image of a SiO2 surface after the same two step SiH4 and GeH4 CVD treatment. No Ge deposition on SiO2 was observed.
DETAILED DESCRIPTION OF THE EMBODIMENTS
[0022] The present inventors realized that for semiconductor pillar devices having at least two different conductivity type regions, such as a diode containing both p-type and n-type semiconductor regions, special steps have to be taken to avoid shorting such a device when the device is formed in an opening in an insulating layer.
[0023] For example, if the conductive barrier layer is simply deposited into the opening and then planarized, then the conductive barrier layer will extend along the sidewalls of the opening from the bottom to the top of the opening. If a semiconductor diode is then deposited into the opening, then the conductive barrier layer located along the sidewalls of the opening would short the p-type region of the diode to the n-type region of the diode.
[0024] Furthermore, if the semiconductor layers of the diode are formed by a method such as low pressure chemical vapor deposition (LPCVD), then the conformal deposition fills the opening from sides, not exclusively from the bottom. Thus, if the n-type semiconductor is deposited in the opening first, then it would either also be located along the entire sidewalls of the opening or it would fill the entire opening. If the n-type region is located along the sidewalls of the opening and the p-type region is located in the middle of the opening, then the upper electrode would contact both the p-type and the n- type regions. If the n-type region fills the entire opening, then there would be no place to form the p-type region in the opening to form the diode.
[0025] The embodiments of the present invention provide methods to overcome these problems. In the first embodiment, the barrier layer is selectively formed to avoid shorting the diode formed in the opening in the insulating layer above the barrier. In a first aspect of the first embodiment, the barrier layer may be formed by nitriding the underlying tungsten electrode to form a tungsten nitride barrier layer before or after forming the insulating layer. If the tungsten nitride barrier is formed after forming the insulating layer, then the barrier layer is formed by nitriding a portion of the tungsten electrode exposed in the opening in the insulating layer. This step of nitriding through the opening in the insulating layer is used to selectively form a tungsten nitride barrier layer on the bottom of the opening. In an alternative aspect of the first embodiment, the barrier layer is formed by nitridation on the electrode prior to formation of the insulating layer. [0026] In the second embodiment, the barrier layer is formed by selective deposition on the underlying electrode. In the third embodiment, a selective silicon recess etch that can be precisely controlled is used to recess a silicon layer of one conductivity type in the opening prior to forming a silicon layer of the opposite conductivity type in the space in the opening created by the recess etch.
[0027] Figures 1 and 2 illustrate methods of making a nitrided barrier layer according to alternative aspects of the first embodiment. Figures IA and IB show a side cross sectional view and a three dimensional view, respectively, of a plurality of conductive electrodes 1 separated from each other by an insulating material or layer 3. The electrodes may have any suitable thickness, such as about 200 ran to about 400 ran. The electrodes 1 may comprise tungsten or another conductive material that can be nitrided. The insulating material may comprise any suitable insulating material, such as silicon oxide, silicon nitride, a high dielectric constant insulating material, such as aluminum oxide, tantalum pentoxide, or an organic insulating material. The electrodes may be formed by depositing a tungsten layer over any suitable substrate, photolithographically patterning the tungsten layer into electrodes 1, depositing an insulating layer over and between the electrodes 1, and planarizing the insulating layer by chemical mechanical polishing (CMP) or etchback to form the insulating material regions 3 which isolate the electrodes 1 from each other. Alternatively, the electrodes 1 may be formed by a damascene method, in which grooves are formed in the insulating layer 3, a tungsten layer is formed in the grooves and over the upper surface of the insulating layer 3, followed planarization of the tungsten layer by CMP or etchback to leave the electrodes 1 in the grooves in the insulating layer 3. The electrodes 1 may be rail shaped electrodes as shown in Figure IB. Other electrode 1 shapes may also be used.
[0028] Figures 1C and ID illustrate a step of nitriding the tungsten electrodes 1 to form tungsten nitride barriers 5 on the plurality of tungsten electrodes before the damascene type insulating layer is deposited on the electrodes 1. The barriers 5 may have any suitable thickness, such as about 1 nm to about 30 ran for example. Any nitriding method may be used. For example, a plasma nitriding method may be used in which a nitrogen containing plasma, such as an ammonia or nitrogen plasma, is provided to the surface of coexposed tungsten 1 and dielectric 3. The specifics of an exemplary plasma nitridation of tungsten to form tungsten nitride is described in US Patent No. 5,780,908, which is incorporated herein by reference in its entirety. It should be noted that the method in US Patent No. 5,780,908 is used to form a nitrided tungsten surface to provide a barrier between the tungsten and an aluminum layer above it, for the purpose of forming a metal gate, rather than for forming a barrier below a semiconductor device.
[0029] While tungsten was described as being used as the electrode 1 material, other materials, such as titanium, tungsten suicide or aluminum may also be used. For example, the stability of the tungsten nitride layer formed by nitridation of a tungsten suicide surface is discussed in U.S. Patent No. 6,133,149 which is incorporated herein by referenced in its entirety.
[0030] The plasma nitridation nitrides the entire exposed surfaces of the electrodes 1 and insulating layer 3. This leaves a surface which is part tungsten nitride barriers 5 and part nitrogen containing insulating material 7 portions. For example, if the insulating material 3 was silicon oxide, then its upper portion is converted to silicon oxynitride 7 after the nitridation. Of course if the original insulating material 3 was silicon nitride, then the nitridation may form a nitrogen rich silicon nitride region 7 in the upper portion or surface of insulating material 3. Thus, the upper portions of the insulating layer or material 3 which separates adjacent tungsten electrodes 1 from each other is also nitrided during the nitriding step.
[0031] As shown in Figure IE, a second insulating layer 9 is deposited over the tungsten nitride barriers 5 and over the nitrided insulating material 7. The insulating layer 9 may have a better adhesion to the tungsten nitride surface than to an unnitrided tungsten surface. The insulating layer 9 may comprise any suitable insulating material, such as silicon oxide, silicon nitride, a high dielectric constant insulating material, such as aluminum oxide, tantalum pentoxide, or an organic insulating material. The material of layer 9 may be the same as or different from the material of insulating layer 3.
[0032] A plurality of openings 11 are formed in the insulating layer 9 such that the tungsten nitride barriers 5 are exposed in the plurality of openings 11. TThhee ooppeenniinnggss 11 may be formed by photolithographic patterning, such as by forming a photoresist layer over the insulating layer 9, exposing and developing (i.e., patterning) the photoresist layer, etching the openings 11 in layer 9 using the photoresist pattern as a mask, and removing the photoresist pattern.
[0033] Thus, in the method of Figures IA-I D, the step of nitriding to form the barriers 5 occurs before the step of forming the insulating layer 9. The insulating layer 9 is formed on the tungsten nitride barriers 5 followed by forming the plurality of openings 11 in the insulating layer 9 to expose upper surfaces of the tungsten nitride barriers 5.
[0034] A plurality of semiconductor devices are then formed on the tungsten nitride barriers 5 in the plurality of openings 1 1 in the insulating layer 9. For example, a silicon layer 13, such as a doped polysilicon or amorphous silicon layer is deposited on the barriers 5 in the openings 11. The formation of the semiconductor devices, such as pillar shaped diodes, will be described in more detail with respect to the third through fifth embodiments below.
[0035] Figures 2A-2C illustrate an alternative method of the first embodiment in which the insulating layer 9 is formed on the plurality of tungsten electrodes 1 (and on the insulating material or layer 3) before the formation of the barriers 5. A plurality of openings 11 are then formed in the insulating layer 9 to expose the upper surfaces of the plurality of tungsten electrodes 1 as shown in Figure 2A. As shown in Figure 2B, the step of nitriding occurs after the step of forming the plurality of openings 11 in the insulating layer 9 such that upper surfaces of the plurality of tungsten electrodes 1 are nitrided through the plurality of openings 11. For example, as shown in Figure 2B, the nitrogen containing plasma 15 is provided into the openings 11 to nitride the tungsten electrodes 1. The nitridation forms the tungsten barriers 5 on the tungsten electrodes 1 in the openings 11.
[0036] Thus, the nitriding step is performed after forming the plurality of openings 11 in the insulating layer 9 to form the tungsten nitride barriers. Optionally, the nitriding step also nitrides at least one sidewall 12 of the plurality of openings 11 in the insulating layer 9. If the insulating layer 9 is silicon oxide, then the sidewalls 12 will be converted to a silicon oxynitride region 14. As used herein, the term "sidewalls" will refer to both one sidewall of an opening having a circular or oval cross section or to plural sidewalls of an opening having a polygonal cross section for convenience. Thus, the use of the term "sidewalls" should not be interpreted as being limited to sidewalls of an opening with a polygonal cross section. If the insulating layer 9 is a material other than silicon oxide, then it may also be nitrided. For example, metal oxides may also be converted to a metal oxynitride, silicon nitride may be converted to a nitrogen rich silicon nitride, while organic materials will contain a nitrogen rich region 14.
[0037] Figure 2C shows the formation of the silicon layer 13 in the openings 11. Details of layer 13 deposition will be provided with respect to the third through fifth embodiments below.
[0038] The advantage of performing the nitridation after the planarization of the electrodes 1 as shown in Figures 1C and ID is that the subsequent insulating layer 9 will not be deposited onto a tungsten surface. If the insulating layer is silicon oxide, then it may not provide an ideal adhesion to tungsten. However, silicon oxide adheres better to a metal nitride barrier, such as a tungsten nitride barrier 5.
[0039] If the plasma deposition reactor has the necessary gases plumbed, then the plasma nitridation can be performed in the same chamber as the insulating layer 9 deposition, without adding any process steps. In such a process, the nitriding plasma, such as a nitrogen or ammonia plasma, is turned on for a time to nitride the tungsten electrode 1 surfaces. Then, the nitrogen containing plasma is pumped from the deposition chamber and the insulating layer 9 deposition process begins by providing desired precursors, such as silicon and oxygen containing precursors (for example silane in combination with oxygen or nitrous oxide) to the deposition chamber to deposit layer 9. Preferably, layer 9 is silicon oxide deposited by PECVD.
[0040] The advantage of performing the nitridation after forming the openings 11 is that if the tungsten electrode sidewalls 2 are exposed in the opening 11 overetch, then the sidewalls 2 will also be nitrided, as shown in Figure 2B. This can happen if the insulating layer 9 opening 11 overetch also removes the TiN adhesion layer which may be located below the tungsten electrodes 1. In other words, the plurality of openings 11 in the insulating layer 9 may be partially misaligned with the plurality of the tungsten electrodes 1 and the etching step using to form the plurality of openings 11 exposes at least portions of sidewalls 2 of the tungsten electrodes 1 due to the misalignment and over etching, as shown in Figure 2A. Then, the step of nitriding forms tungsten nitride barriers 5 on the upper surfaces of electrodes 1 and tungsten nitride barriers 6 on exposed portions of the sidewalls 2 of the tungsten electrodes 1 as shown in Figure 2B.
[0041] In case misalignment occurred during formation of the openings 11, the silicon layer 13 may extend into the overetched portions of the openings 11. However, silicon layer 13 contacts only the tungsten nitride barriers 5 and 6, but does not contact the tungsten electrodes 1 directly, as shown in Figure 2C. When the final device, such as a pillar shaped diode, is completed, it is partially misaligned with the tungsten electrode 1 and the tungsten nitride barriers 5, 6 are located on an upper surface of the tungsten electrode and on at least a portion of a sidewall of the tungsten electrode. The oxide insulating layer 9 would be located around the diode, as will be described in more detail below, such that a portion 14 of the oxide insulating layer 9 located adjacent to at least one sidewall of the pillar shaped diode is nitrided.
[0042] Both non-limiting advantages of nitridation described above (improved insulating layer 9 adhesion to tungsten nitride and electrode 1 sidewall barrier 6 formation) will be achieved if the nitridation is performed before layer 9 deposition and after formation of the openings 11 in layer 9. Thus, if desired, the electrode 1 nitridation can be performed both after the bottom electrode planarization as shown in Figures 1 C and ID and after formation of the openings 11, as shown in Figure 2B.
[0043] In the second embodiment, the conductive barriers 5 are formed by a selective deposition on exposed upper surfaces of the tungsten electrodes 1. For example, in one aspect of the second embodiment, metal or metal alloy barriers 5 are formed by selective atomic layer deposition on the plurality of tungsten electrodes. The barrier 5 metal or metal alloy may comprise tantalum, niobium or alloys thereof. Selective atomic layer deposition of a barrier metal, such as tantalum or niobium, is described in U.S. published Patent Application Number 2004/0137721 which is incorporated herein by reference in its entirety. The atomic layer deposition of the barrier 5 is preferably conducted before the deposition of the insulating layer 9, as shown in Figures 1C and ID. The selective deposition forms barriers 5 selectively only on the electrodes 1 but not the adjacent insulating layer or material 3. Thus, a metallic connection from the barriers 5 of the electrodes to the top surface of the insulating layer 9 is prevented.
[0044] In an alternative method of the second embodiment, the conductive barriers are formed by selective plating of a barrier metal or metal alloy on the plurality of tungsten electrodes. The plating may comprise electroless plating or electroplating which selectively plates the barriers 5 onto the electrodes 1 but not on the adjacent insulating layers 3 or 9. The barrier metals or metal alloys may comprise any conductive barrier materials that can be selectively plated onto the electrodes and not the insulating layers from a plating solution, such as cobalt and cobalt tungsten alloys, including CoWP. Selective deposition of a barrier metal alloy, such as CoWP by plating is described in "Thermal Oxidation of Ni and Co Alloys Formed by Electroless Plating", Jeff Gamindo and coauthors, MRS Abstract number F5.9, April 17-21 2006, San Francisco, incorporated herein by reference in its entirety. The selective plating may be conducted before the deposition of the insulating layer 9 and/or through the openings 11 in the insulating layer 9. In other words, the plating of the conductive barriers may be conducted before the step of forming the insulating layer 9, such that the insulating layer 9 is formed on the plurality of conductive barriers 5 followed by forming the plurality of openings 11 in the insulating layer 9 to expose upper surfaces of the plurality of conductive barriers 5. Alternatively, the plating of the conductive barriers may be conducted after the step of forming the plurality of openings 11 in the insulating layer 9 such that the plurality of conductive barriers are selectively formed on the upper surfaces of the plurality of tungsten electrodes 1 through the plurality of openings 11 in the insulating layer 9.
[0045] As described above with respect to Figures 2A to 2C, the openings 11 in the insulating layer 9 may be partially misaligned with the plurality of the tungsten electrodes 1 , such that the step of forming the plurality of openings 11 exposes at least portions of sidewalls 2 of the tungsten electrodes 1. The selective deposition of the conductive barriers 5, such as the selective plating, forms the conductive barriers 5 on the upper surfaces and conductive barriers 6 on exposed portions of the sidewalls 2 of the plurality of tungsten electrodes 1.
[0046] A method according to the third embodiment forms pillar shaped devices, such as a pillar diodes, in the openings 11 in the insulating layer 9 by a modified process, as shown in Figures 3A-3E. The devices may be formed on the barrier layers 5, 6 of the first or second embodiments. Alternatively, the barrier layers 5, 6 may be omitted or the barriers 5 may be formed by non-selective layer deposition followed by photolithographic patterning rather than being formed by the methods of the first or the second embodiment.
[0047] As shown in Figure 3 A, the insulating layer 9 containing a plurality of openings 11 is provided over a substrate. The substrate can be any semiconducting substrate known in the art, such as monocrystalline silicon, IV-IV compounds such as silicon- germanium or silicon-germanium-carbon, III-V compounds, II- VI compounds, epitaxial layers over such substrates, or any other semiconducting or non-semiconducting material, such as glass, plastic, metal or ceramic substrate. The substrate may include integrated circuits fabricated thereon, such as driver circuits for a memory device. As described above with respect to the first and the second embodiments, the lower electrodes, such as rail shaped tungsten electrodes 1 covered with barriers 5 are formed over the substrate as a first step in fabricating a nonvolatile memory array. Other conductive materials, such as aluminum, tantalum, titanium, copper, cobalt, or alloys thereof, may also be used. An adhesion layer, such as a TiN adhesion layer may be included below the electrodes 1 to help the electrodes to adhere to insulating layer 3 or other materials below the electrodes 1.
[0048] The insulating layer 9 can be any electrically insulating material, such as silicon oxide, silicon nitride, or silicon oxynitride, or an organic or inorganic high dielectric constant material. If desired, the insulating layer 9 may be deposited as two or more separate sublayers. Layer 9 may be deposited by PECVD or any other suitable deposition method. Layer 9 may have any suitable thickness, such as about 200 nm to about 500 nm for example.
[0049] The insulating layer 9 is then photolithographically patterned to form openings 11 extending to and exposing the upper surface of the barriers 5 of the electrodes 1. The openings 11 should have about the same pitch and about the same width as the electrodes 1 below, such that each subsequently formed semiconductor pillar is formed on top of a respective electrode 1. Some misalignment can be tolerated, as described above. Preferably, the openings 11 in the insulating layer 9 have a half pitch of 45 nm or less, such as 10 nm to 32 nm. The openings 11 with the small pitch may be formed by forming a positive photoresist over the insulating layer 9, exposing the photoresist to radiation, such as 193 nm radiation, while using an attenuated phase shift mask, patterning the exposed photoresist, and etching the openings 1 1 in the insulating layer 9 using the patterned photoresist as a mask. The photoresist pattern is then removed. Any other suitable lithography or patterning method may also be used. For example, other radiation wavelengths, such as the 248 nm wavelength, may be used with or without the phase shift mask. For example, 120-150 nm, such as about 130 nm wide openings may be formed with 248 nm lithography and 45-100 nm, such as about 80 nm wide openings may be formed with 193 nm lithography. Furthermore, various hardmasks and antireflective layers may also be used in the lithography, such as a BARC or DARC in combination with an insulating hardmask for 248 nm lithography, and BARC or DARC in combination with a dual W / insulating hardmask for 193 nm lithography.
[0050] A first semiconductor layer 13 is formed in the plurality of openings 11 in the insulating layer 9 and over the insulating layer 9. The semiconductor layer 13 may comprise silicon, germanium, silicon-germanium or a compound semiconductor material, such as a III-V or II- VI material. The semiconductor layer 13 may be an amorphous or polycrystalline material, such as polysilicon. The amorphous semiconductor material may be crystallized in a subsequent step. Layer 13 is preferably heavily doped with a first conductivity type dopant, such as p-type or n-type dopant, such as doped with a dopant concentration of 1018 to 1021 cm"3. For illustration, it will be assumed that layer 13 is a conformally deposited n-type doped polysilicon. The polysilicon can be deposited and then doped, but is preferably doped in situ by flowing a dopant containing gas providing n-type dopant atoms, for example phosphorus or arsenic (i.e., in the form of phosphine or arsine gas added to the silane gas) during LPCVD deposition of the polysilicon layer. The resulting structure is shown in Figure 3 A.
[0051] As shown in Figure 3B, an upper portion of the semiconductor layer 13, such as a polysilicon layer, is removed. The lower n-type portions 17 of the polysilicon layer 13 remain in lower portions of the openings 11 in the insulating layer 9, while upper portions 19 of the plurality of openings 11 in the insulating layer 9 remain unfilled. N-type portions 17 may be between about 5 nm and about 80 nm thick, such as about 10 nm to about 50 nm thick. Other suitable thicknesses may be used instead.
[0052] Any suitable method may be used to remove layer 13 from upper portions 19 of the openings 1 1. For example, a two step process may be used. First, the polysilicon layer 13 is planarized with an upper surface of the insulating layer 9. The planarization may be performed by CMP or etchback (such as isotropic etching) with optical end point detection. Once the polysilicon layer 13 is planarized with the upper surface of the insulating layer 9 (i.e., such that the polysilicon layer 13 fills the openings 11 but is not located over the top surface of the insulating layer 9), a second recess etching step may be performed to recess the layer 13 in the openings 11 , such that only portions 17 of layer 13 remain in the openings 11. Any selective etching step, such as a wet or dry, isotropic or anisotropic etching step which selectively or preferentially etches polysilicon remaining in the upper portions of openings 11 over the insulating material of layer 9 (such as silicon oxide) may be used. Preferably, a dry etching step which provides a controllable etch end point is used.
[0053] For example, as shown in a micrograph in Figure 3F, the recess etching step is a selective dry anisotropic etching step. In this step, the first semiconductor layer 13 remaining in the upper portions of the plurality of openings 11 is etched with a level etch front to recess the first semiconductor layer 13. The level etch front provides that portions 17 of the first semiconductor layer 13 remaining in the plurality of openings 11 have a substantially planar upper surface, as shown in Figure 3F. This allows formation of a "parfait" shaped diode in which the boundary between different conductivity type regions is substantially planar.
[0054] Alternatively, as shown in a micrograph in Figure 3 G, a selective isotropic etch may be used to recess layer 13. In this case, the portions of the first semiconductor layer 13 remaining in the plurality of openings 11 have an annular (i.e., hollow ring) shape with a groove in a middle, as shown in Figure 3G.
[0055] As shown in Figure 3 C, a second semiconductor layer 21 is then formed in the upper portions 19 of the plurality of openings 11 in the insulating layer 9 and over the insulating layer 9. The second semiconductor layer 21 may comprise the same or different semiconductor material as the material of the first semiconductor layer 13. For example, layer 21 may also comprise polysilicon. It may be advantageous to deposit a layer 21 with a different semiconductor composition compared to the composition of layer 13, as described in U.S. Patent Number 7,224,013 to Herner and Walker titled 'Junction diode comprising varying semiconductor compositions" and which is incorporated by reference herein in its entirety. For example, layer 13 may comprise silicon or silicon-germanium alloy having a relatively low percentage of germanium, while layer 21 may comprise germanium or a silicon-germanium alloy having a higher percentage germanium than layer 13 or vice-versa. If a p-n type diode is being formed in the openings 11, then layer 21 may be heavily doped with opposite conductivity type dopants, such as p-type dopants, from the conductivity type of layer 13. If desired, the second semiconductor layer 21 have the same conductivity type as the first layer 13, but a lower doping concentration than layer 13.
[0056] If a p-i-n type diode is being formed in the openings 11, then the second semiconductor layer 21 may be an intrinsic semiconductor material, such as intrinsic polysilicon. In this discussion, a region of semiconductor material which is not intentionally doped is described as an intrinsic region. It will be understood by those skilled in the art, however, that an intrinsic region may in fact include a low concentration of p-type or n-type dopants. Dopants may diffuse into the intrinsic region from adjacent regions, or may be present in the deposition chamber during deposition due to contamination from an earlier deposition. It will further be understood that deposited intrinsic semiconductor material (such as silicon) may include defects which cause it to behave as if slightly n-doped. Use of the term "intrinsic" to describe silicon, germanium, a silicon-germanium alloy, or some other semiconductor material is not meant to imply that this region contains no dopants whatsoever, nor that such a region is perfectly electrically neutral. The second semiconductor layer 21 is then planarized at least with an upper surface of the insulating layer 9 using chemical mechanical polishing to remove a first portion of the second semiconductor layer 21 located over the insulating layer 9 while leaving portions 23 of layer 21 in the upper portions 19 of openings 11. Alternatively, etchback may also be used. The intrinsic region or portions 23 may be between about 110 and about 330 nm, such as about 200 nm thick. The resulting device is shown in Figure 3D.
[0057] Then, dopants of the opposite conductivity type to the conductivity type of regions 17 are implanted into upper sections of the second portions 23 of the second semiconductor layer 21 to form p-i-n pillar shaped diodes. For example, p-type dopants are implanted into the upper sections of intrinsic portions 23 to form p-type regions 25. The p-type dopant is preferably boron which is implanted as boron or BF2 ions. Alternatively, region 25 may be selectively deposited on region 23 (after region 23 is recessed in openings 11) and then planarized rather than being implanted into region 23. For example, region 25 may be formed by depositing an in-situ p-type doped semiconductor layer by CVD followed by planarization of this layer. Region 25 may be about 10 nm to about 50 nm thick, for example. The pillar shaped p-i-n diodes 27 located in openings 11 comprise n-type regions 17, intrinsic regions 23 and p-type regions 25, as shown in Figure 3E. In general, the pillar diodes 27 preferably have a substantially cylindrical shape with a circular or roughly circular cross section having a diameter of 250 nm or less. Alternatively, pillar diodes with polygonal cross sectional shapes, such as rectangular or square shapes may also be formed by forming openings 11 with polygonal cross sectional shapes instead of circular or oval cross sectional shapes.
[0058] Optionally, n+ dopant diffusion is prevented during subsequent intrinsic silicon deposition by the method described in U.S. Published Application 2006/0087005 titled "Deposited semiconductor structure to minimize N-type dopant diffusion and method of making" which is incorporated herein by reference in its entirety. In this method, the n- type semiconductor layer, such as an n-type polysilicon or amorphous silicon layer, is capped by a silicon-germanium capping layer having at least 10 atomic percent germanium. The capping layer may be about 10 to about 20 nm thick, preferably no more than about 50 nm thick, and contains little or no n-type dopant (i.e., the capping layer is preferably a thin, intrinsic silicon-germanium layer). The intrinsic layer of the diode, such as a silicon layer or silicon-germanium layer having less than 10 atomic percent germanium is deposited on the capping layer. Alternatively, an optional silicon rich oxide (SRO) layer is formed between the n-type region 17 and the intrinsic region 23 of each diode 27. The SRO region forms a barrier that prevents or decreases phosphorus diffusion from bottom n-type region 17 of the diode into the undoped region 23.
[0059] In the illustrative example, the bottom region 17 of the diode 27 is N+ (heavily doped n-type), and the top region 25 is P+. However, the vertical pillar can also comprise other structures. For example, bottom region 17 can be P+ with N+ top region 25. In addition, the middle region can intentionally be lightly doped, or it can be intrinsic, or not intentionally doped. An undoped region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped. Such a diode can be considered a p-i-n diode. Thus, a P+/N"/N+, P+/P7N+, N+/N7P+ or N+/P7P+ diode can be formed.
[0060] Turning to Fig. 4, upper electrodes 29 can be formed in the same manner as the bottom electrodes 1 , for example by depositing an adhesion layer, preferably of titanium nitride, and a conductive layer, preferably of tungsten. Conductive layer and adhesion layer are then patterned and etched using any suitable masking and etching technique to form substantially parallel, substantially coplanar conductor rails 29, extending perpendicular to conductor rails 1. In a preferred embodiment, a photoresist is deposited, patterned by photolithography, the conductive layers are etched, and then the photoresist is removed using standard process techniques. Alternatively, an optional insulating oxide, nitride, or oxynitride layer may be formed on heavily doped regions 25, and the conductors 29 are formed by a Damascene process, as described in Radigan et al., US Patent Application No. 11/444,936, "Conductive Hard Mask to Protect Patterned Features During Trench Etch," filed May 31 , 2006, hereby incorporated by reference in its entirety. Rails 29 may be about 200 nm to about 400 nm thick.
[0061] Next, another insulating layer (not shown for clarity) is deposited over and between conductor rails 29. The insulating material can be any known electrically insulating material, such as silicon oxide, silicon nitride, or silicon oxynitride. In a preferred embodiment, silicon oxide is used as this insulating material. This insulating layer can be planarized with the upper surface of the conductor rails 29 by CMP or etchback. A three dimensional view of the resulting device is shown in Figure 4.
[0062] The pillar device, such as a diode device, may comprise a one-time programmable (OTP) or re-writable nonvolatile memory device. For example, each diode pillar 27 may act as a steering element of a memory cell and another material or layer 31 which acts as a resistivity switching material (i.e., which stores the data) is provided in series with the diode 27 between the electrodes 1 and 29, as shown in Figure 4 Specifically, Figure 4 shows one nonvolatile memory cell which comprises the pillar diode 27 in series with the resistivity switching material 31, such as an antifuse (i.e., antifuse dielectric), fuse, polysilicon memory effect material, metal oxide (such as nickel oxide, perovskite materials, etc,), carbon nanotubes, phase change materials, switchable complex metal oxides, conductive bridge elements, or switchable polymers. The resistivity switching material 31, such as a thin silicon oxide antifuse dielectric layer, may be deposited over the diode pillar 27 followed by the deposition of the upper electrode 29 on the antifuse dielectric layer. Antifuse dielectric 31 may also be formed by oxidizing an upper surface of the diode 27 to form a 1 to 10 nm thick silicon oxide layer. Alternatively, the resistivity switching material 31 may be located below the diode pillar 27, such as between the barrier 5 and another conductive layer, such as TiN layer. In this embodiment, a resistivity of the resistivity switching material 31 is increased or decreased in response to a forward and/or reverse bias provided between the electrodes 1 and 29. [0063] In another embodiment, the pillar diode 27 itself may be used as the data storage device. In this embodiment, the resistivity of the pillar diode is varied by the application of a forward and/or reverse bias provided between the electrodes 1 and 29, as described in US Patent Application No. 10/955,549 filed September 29, 2004 (which corresponds to US Published Application 2005/0052915 Al) and US Patent Application No. 11/693,845 filed March 30, 2007 (which corresponds to US Published Application 2007/0164309 Al), both of which are incorporated by reference in their entirety. In this embodiment, the resistivity switching material 31 may be omitted if desired. While a nonvolatile memory device has been described, other devices, such as other volatile or nonvolatile memory devices, logic devices, display devices, lighting devices, detectors, etc., may also be formed by the methods described above. Furthermore, while the pillar shaped device was described as being a diode, other similar pillar shaped devices, such as transistors may also be formed.
[0064] Formation of a first memory level has been described. Additional memory levels can be formed above this first memory level to form a monolithic three dimensional memory array. In some embodiments, conductors can be shared between memory levels; i.e. top conductor 29 would serve as the bottom conductor of the next memory level. In other embodiments, an interlevel dielectric (not shown) is formed above the first memory level, its surface planarized, and construction of a second memory level begins on this planarized interlevel dielectric, with no shared conductors.
[0065] A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, US Patent No. 5,915,167, "Three dimensional structure memory." The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays. [0066] A monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or indeed any number of memory levels can be formed above the substrate in such a multilevel array.
[0067] In a fourth embodiment of the invention, alternative etching and doping steps are used to form the pillar shaped device, such as a diode 27. In this embodiment, etch selectivity of various conductivity types of polysilicon is used in the recess etching step to provide end point detection. Specifically, phosphorus doped polysilicon has a faster etch rate than undoped silicon (see http://www.clarycon.com/Resources/Slide3t.ipg and http://www.clarycon.com/Resources/Slide5i.ipg for data showing that differently doped polysilicon has different etch rates). The etching rates from the above mentioned website for phosphorus doped, boron doped and undoped polysilicon are shown in Figure 5 A.
[0068] The depth of the high-etch rate n-type doped layer can be tailored with the implant dose and energy. One optical etch endpoint detection method involves monitoring for a change in intensity of a wavelength that is characteristic to particular reactant or product in the etching reaction. When the etching endpoint is achieved, there will be a lower density of etch reaction products in the plasma, so the endpoint can be triggered, stopping the etch. Another etch endpoint detection uses a mass spectrometer to monitor for a particular species in the exhaust stream from the dry etching reaction, called RGA (residual gas analysis). The mass spectrometer can be located near or in the exhaust conduit of the etching reaction chamber. In this case, the RGA monitors for a phosphorus containing species in the exhaust stream, and provides an endpoint sign or trigger on a drop in the signal.
[0069] In the method of the fourth embodiment, the first polysilicon layer 13 is deposited undoped (i.e., intrinsic), as shown in Figure 5B. Layer 13 is then implanted with phosphorus to a predetermined depth before or after layer 13 is planarized with the upper surface of the insulating layer 9 to form an implanted region 101 , as shown in Figure 5C. The depth of the implant is selected such that the bottom 103 of the phosphorus implanted region 101 will be located at or around the upper surface of region 17 that was shown in Figure 3B. Intrinsic portions 105 of the first semiconductor layer 13 remain in lower portions of the plurality of openings 11.
[0070] The first polysilicon layer 13 is then selectively etched, such as by using anisotropic plasma etching (using for example SF6, CF4, HBr/Cl2 or HBr/O2 plasma) to recess layer 13 in the openings 11. The phosphorus doped region 101 of the first polysilicon layer 13 is etched until the intrinsic portions 105 of the first polysilicon layer are reached, as shown in Figure 5D. In other words, once the bottom 103 of the phosphorus implanted region 101 is reached during the etching step (and thus the intrinsic portions 105 of the first polysilicon layer 13 are reached during the etching step), as detected optically or by RGA, the etching is stopped. Specifically, when the bottom 103 of the phosphorus doped region 101 is reached, the intensity of the phosphorus characteristic wavelength will decrease in optical endpoint detection or the amount of phosphorus containing species detected by RGA will decrease. The remaining intrinsic portions 105 of layer 13 in openings 11 are then redoped with n-type dopant, such as by implanting phosphorus or arsenic into portions 105 to form n-type portions 17, as shown in Figure 5E. The second semiconductor layer, such as the intrinsic semiconductor layer 21 is then deposited onto portions 17 as shown in Figure 3 C and the process continues as in the third embodiment. To form a diode 27 with a p-type bottom region, the portions 105 are implanted with boron or BF2 after the recess etching. Furthermore, rather than using phosphorus implanted region for end point detection, boron or BF2 implanted regions may be used, and a characteristic boron wavelength or RGA signature is monitored instead.
[0071] Furthermore, optical endpoint detection can be used to determine when layer 13 is planarized with the upper surface of the insulating layer 9. Once layer 13 is planarized, the upper surface of the insulating layer 9 is exposed. Thus, the optical signature of the surface will change from a polysilicon signature to a signature characteristic of presence of both polysilicon and insulator (such as silicon oxide). [0072] In a fifth embodiment of the present invention, a sacrificial layer is used to form the pillar shaped devices. Figures 6A-6G illustrate the steps in the method of the fifth embodiment.
[0073] First, a plurality of lower electrodes 1 are formed over a substrate, as described above with respect to the prior embodiments. For example, tungsten electrodes 1 with barriers 5 of the first or the second embodiments may be provided (electrodes 1 and barriers 5 are omitted from Figure 6A for clarity and are shown in the final device depicted in Figure 6G). Then, the insulating layer 9 containing a plurality of openings 11 having a first width is provided over the electrodes 1 and barriers 5 (one opening 11 is shown in Figure 6 A for clarity). An optional hardmask layer 33 may also be formed over the insulating layer 9. Then, first semiconductor regions of a first conductivity type (such as n-type polysilicon regions) 17 are formed on the lower electrodes. For example, the methods of the third or fourth embodiments may be used to form regions 17. Then, a sacrificial material 35 is formed in the plurality of first openings 11. The sacrificial material may be any suitable soluble organic material which is used in dual damascene via first methods. For example, Wet Gap Fill (WGF) 200 material provided by Brewer Science, Inc. may be used as sacrificial material 35. The device at this stage in the process is shown in Figure 6A.
[0074] Then, as shown in Figure 6B, an optional antirefiective layer 37, such as a BARC layer 37m is formed over the insulating layer 9 and over the optional hardmask 33. A photoresist layer 39 is then exposed and patterned over the BARC layer 37. The device at this stage in the process is shown in Figure 6B.
[0075] As shown in Figure 6C, the patterned photoresist is then used as a mask to etch a plurality of second openings 41 (one opening 41 is shown in Figure 6C for clarity) in the insulating layer 9 to expose the sacrificial material 35 in openings 11. The second openings 41 are wider than the first openings 11. A portion of the sacrificial material 35 may be etched during the formation of the second openings. The second openings 41 comprise trench shaped openings in which the sacrificial material is exposed in a portion of the bottom of the trench. [0076] As shown in Figure 6D, the sacrificial material is selectively removed from the first openings 11 through the second openings 41. Any suitable liquid etching material or developer may be used to remove material 35 from openings 11 to expose n-type polysilicon regions 17 in the openings 11.
[0077] Then, as shown in Figure 6E, second semiconductor regions of a second conductivity type are formed in the first openings 11. For example, the intrinsic polysilicon layer 21 may be formed in openings 11 and 41 and over the insulating layer 9.
[0078] The polysilicon layer 21 is then planarized and recessed using the methods described in the third embodiment. Preferably, the remaining portion 23 of polysilicon layer 21 is recessed such that its upper surface is level with the top of the openings 11 (i.e., the top of portion 23 is level with the bottom of trench 41). P-type regions 25 are then implanted into intrinsic regions 23 as described in the third embodiment above. The device at this stage is shown in Figure 6F. Regions 17, 23 and 25 form pillar shaped diodes 27 in the first openings 11.
[0079] Then, as shown in Figure 6G, upper electrodes are formed in the trenches 41 in the insulating layer 9 by a damascene process, such that the upper electrodes contact the p-type semiconductor regions 25 of the diodes 27. The upper electrodes may comprise a TiN adhesion layer 43 and tungsten conductors 29. The upper electrodes are then planarized by CMP or etchback with the upper surface of the insulating layer 9. If desired, a lower TiN adhesion layer 45 may also be formed below the lower electrodes 1. The trench may be about 200 nm to about 400 nm deep and the diode 27 may about 200 nm to about 400 nm high, such as about 250 nm high.
[0080] The pillar shaped devices may be made using any one or more steps described above with respect to any one or more of the first through fifth embodiments. Dependent on the process steps used, the completed device may have one or more of the following features shown in Figures 7 A and 7B.
[0081] For example, as shown in Figure 7A, the n-type region 17 of the diode 27 may contain a first vertical seam 47, while the p-type region 25 (as well as the intrinsic region 23) of the diode 27 may contain a second vertical seam 49. The seams 47, 49 may be formed if the deposition of the polysilicon layers 13 and 21 does not completely fill the openings 11 during the separate deposition steps. The first 47 and the second 49 vertical seams do not contact each other. The seams do not contact each other because the polysilicon layers 13 and 21 are deposited in separate steps as shown in Figures 3A-3E. Specifically, without wishing to be bound by a particular theory, it is believed that the bottom portion of layer 21 which contacts region 17 would not form the seam since the bottom portion of layer 21 may fill the opening 11 completely. However, depending on the deposition process of the polysilicon layers 13 and 21 the seams may be omitted.
[0082] Furthermore, as also shown in Figure 7 A, the sidewalls 51 of the first conductivity type region (such as the n-type region 17) may have a different taper angle than sidewalls 53 of the second conductivity type region (such as the p-type region 25 and/or intrinsic region 23) of the diode. A discontinuity 55 is located in a sidewall of the diode 27 where the differently tapered sidewalls 51, 53 meet. Specifically, the first conductivity type region 17 has a narrower taper angle than the second conductivity type region 25 and the discontinuity 55 is a step in the sidewall of the diode between the intrinsic semiconductor region 23 and the n-type conductivity type region 17. Without wishing to be bound by a particular theory, it is believed that the different tapers and the discontinuity may be formed because the recess etchback of layer 13 shown in Figure 3B is more isotropic than the step of etching the openings 11 in the insulating layer 9 shown in Figure 3 A. Thus, during the etchback of layer 13, the upper portions 19 of openings 1 1 are also etched and are widened compared to lower portions of openings 11. Thus, layers 13 and 21 which fill the lower and upper portions of openings 11, respectively, assume the different tapers of the respective portions of the openings. The different tapers and the discontinuity may be avoided if the recess etching step of layer 13 is conducted without widening the upper portions 19 of the openings.
[0083] If the barriers 5 are formed by nitriding the electrodes 1 through the openings 11 in the insulating layer 9, as shown in Figure 2B, then the portion of the insulating layer 9 located adjacent to at least one sidewall of the pillar shaped diode 27 is nitrided. For example, as shown in Figures 2B and 7A, if layer 9 is silicon oxide, then a nitrided oxide, such as silicon oxynitride or nitrogen containing silicon oxide region 14 is formed on the sidewalls 12 of the openings 11 around the diode 27. Furthermore, if the upper portion of the insulating layer 9 adjacent to the p-type region 25 of the diode contains a boron gradient, then it indicates that boron was implanted into the insulating layer 9 in addition to being implanted into upper portions of regions 23 to form regions 25, as shown in Figures 3E and 7A.
[0084] Figure 7B shows an inset portion in Figure 7A around the barriers 5, 6. If the pillar shaped diode is partially misaligned with the tungsten electrode, as shown in Figures 2A, 2B and 7B, then the tungsten nitride barrier 5 is located on an upper surface of the tungsten electrode 1 and the tungsten nitride barrier 6 is located on at least a portion of a sidewall of the tungsten electrode 1, as shown in Figure 7B. Furthermore, if the barrier 5 is formed by nitriding the tungsten electrodes 1 before forming the insulating layer 9, as shown in Figures 1C and ID, then a thin nitrogen rich region, such as a 1-10 ran thick nitrogen rich region 7 is formed on top of the lower insulating layer or material 3. For example, if layer 3 comprises an oxide, such as silicon oxide, then its top portion 7 is nitrided to form silicon oxynitride or nitrogen containing silicon oxide.
[0085] Another embodiment of the invention provides a method of making a pillar device by selectively depositing a germanium or germanium rich silicon germanium pillar into previously formed opening in an insulating layer to overcome the limitations of the subtractive method used in the prior art. The selective deposition method preferably includes providing an electrically conductive material, such as titanium nitride, tungsten, or another conductor, exposed in the opening in the insulating layer. A silicon seed layer is then deposited on the titanium nitride. The germanium or germanium rich silicon germanium (i.e., SiGe containing more than 50 atomic percent Ge) is then selectively deposited on the silicon seed layer in the opening, while no germanium or germanium rich silicon germanium is deposited on the upper surface of the insulating layer. This eliminates the oxide CMP or etchback step that is used in the subtractive method. Preferably, the silicon seed layer and the germanium or germanium rich silicon germanium pillar are deposited by chemical vapor deposition at a low temperature, such as a temperature below 440 "C. [0086] The electrically conductive material, such as titanium nitride, can be provided in the opening by any suitable method. For example, in one embodiment, a titanium nitride layer is formed over a substrate and then photolithographically patterned into a pattern. Alternatively, other materials, such as titanium tungsten or tungsten nitride may be used instead of titanium nitride. The pattern may comprise an electrode, such as a rail shaped electrode. An insulating layer is then formed on the titanium nitride pattern, such as on the titanium nitride electrode. Then, the opening is formed in the insulating layer by etching to expose the titanium nitride pattern. In an alternative embodiment, the conductive nitride pattern is selectively formed in an opening in an insulating layer. For example, a titanium nitride or tungsten nitride pattern may be selectively formed in the opening in an insulating layer by nitriding a titanium or tungsten layer exposed at the bottom of the opening.
[0087] The pillar device may comprise a portion of any suitable semiconductor device, such as a diode, transistor, etc. Preferably, the pillar device comprises a diode, such as a p-i-n diode. In this embodiment, the step of selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening comprises selectively depositing first conductivity type (such as n-type) semiconductor material, followed by selectively depositing intrinsic germanium or germanium rich silicon germanium semiconductor material, followed by selectively depositing second conductivity type (such as p-type) germanium or germanium rich silicon germanium semiconductor material into the opening to form the p-i-n diode. Thus, all three regions of a p-i-n diode are selectively deposited into the opening. Alternatively, in a less preferred embodiment, rather than selectively depositing the second conductivity type semiconductor material, the diode is completed by implanting second conductivity type dopants, such as p-type dopants, into an upper portion of the intrinsic germanium or germanium rich silicon germanium semiconductor material to form the p-i-n diode. Of course, the position of the p-type and n-type regions can be reversed if desired. To form a p-n type diode, a first conductivity type (such as n-type) germanium or germanium rich silicon germanium semiconductor material is selectively deposited into the opening, followed by selectively depositing a second conductivity type (such as p-type) germanium or germanium rich silicon germanium semiconductor material over the first conductivity type semiconductor material to form the diode.
[0088] Figures 8A through 8D show a preferred method of forming the pillar device using selective deposition.
[0089] Referring to Figure 8A, the device is formed over a substrate 100. The substrate 100 can be any semiconducting substrate known in the art, such as monocrystalline silicon, IV-IV compounds such as silicon-germanium or silicon-germanium-carbon, III-V compounds, II- VI compounds, epitaxial layers over such substrates, or any other semiconducting or non-semiconducting material, such as glass, plastic, metal or ceramic substrate. The substrate may include integrated circuits fabricated thereon, such as driver circuits for a memory device. An insulating layer 102 is preferably formed over substrate 100. The insulating layer 102 can be silicon oxide, silicon nitride, high-dielectric constant film, Si-C-O-H film, or any other suitable insulating material.
[0090] A first electrically conductive layer 200 is formed over the substrate 100 and insulating layer 102. The conductive layer 200 can comprise any conducting material known in the art, such as tungsten and/or other materials, including aluminum, tantalum, titanium, copper, cobalt, or alloys thereof An adhesion layer may be included between the insulating layer 102 and the conductive layer to help conductive layer adhere to insulating layer 102.
[0091] A barrier layer 202, such as a TiN layer is deposited on top of the first conductive layer 200. If upper surface of the first conductive layer 200 is tungsten, then tungsten nitride can be formed on top of the conductive layer 200 instead of TiN by nitriding the upper surface of the tungsten. For example, the following conductive layer combinations may be used: Ti (bottom)/Al/TiN (top), or Ti/TiN/Al/TiN, or Ti/Al/TiW, or any combination of these layers. The bottom Ti or Ti/TiN layers can act as adhesion layers, the Al layer can act as the conductive layer 200, and the TiN or TiW layer on top can serve as the barrier layer 202 as well as an antireflective coating for patterning the electrodes 204, as an optional polish stop material for subsequent CMP of an insulating layer 108 (if layer 108 is deposited in two steps), and as a selective silicon seed deposition substrate, as will be described below.
[0092] Finally, the conductive layer 200 and the barrier layer 202 are patterned using any suitable masking and etching process. In one embodiment, a photoresist layer is deposited over the barrier layer 202, patterned by photolithography, and the layers 200 and 202 are etched using the photoresist layer as a mask. The photoresist layer is then removed using standard process techniques. The resulting structure is shown in Fig. 8A. The conductive layer 200 and the barrier layer 202 may be patterned into rail shaped bottom electrodes 204 of memory devices. Alternatively, the electrodes 204 may instead be formed by a Damascene method, in which at least the conductive layer 200 is formed in grooves in an insulating layer by deposition and subsequent planarization.
[0093] Next, turning to Fig. 8B, an insulating layer 108 is deposited over and between electrodes 204. The insulating layer 108 can be any electrically insulating material, such as silicon oxide, silicon nitride, or silicon oxynitride. The insulating layer 108 may be deposited in one step and then planarized by CMP for a desired amount of time to obtain a planar surface. Alternatively, the insulating layer 108 may be deposited as two separate sublayers, where a first sublayer is formed between the electrodes 204 and a second sublayer is deposited over the first sublayer and over the electrodes 204. A first CMP step may be used to planarize the first sublayer using barrier layer 202 as polish stop. A second CMP step may be used to planarize the second sublayer for a desired amount of time to obtain a planar surface.
[0094] The insulating layer 108 is then photolithographically patterned to form openings 110 extending to and exposing the upper surface of the barriers 202 of the electrodes 204. The openings 110 should have about the same pitch and about the same width as the electrodes 204 below, such that each semiconductor pillar 300 shown Fig. 8C is formed on top of a respective electrode 204. Some misalignment can be tolerated. The resulting structure is shown in Fig. 8B.
[0095] Referring to Figure 8C, vertical semiconductor pillars 300 are selectively formed in the openings 110 above the TiN barrier 202. The semiconductor material of the pillars can be germanium or a germanium rich silicon germanium. For simplicity, this description will refer to the semiconductor material as germanium, but it will be understood that the skilled practitioner may select other suitable materials instead.
[0096] Germanium pillars 300 can be selectively deposited by low pressure chemical vapor deposition (LPCVD) selectively on a thin Si seed layer located over TiN barriers, as shown in Fig. 8C. For example, the method described in US Application Number 11/159,031 filed on June 22, 2005 (which published as US Published Application 2006/0292301 Al), incorporated herein by reference, may be used to deposit the Ge pillars. Preferably, the entire pillar 300 is selectively deposited. However, in a less preferred embodiment, only about the first 20 ran of the pillar 300 deposited on the seed layer / TiN barrier needs to have high selectivity versus silicon dioxide to prevent sidewall shorting of the diode, while the remainder of the pillar can be non-selectively deposited.
[0097] For example, as shown in Fig. 9A, a thin Si seed layer is deposited on TiN by flowing 500 seem of SiH4 for 60 min at 3800C and a pressure of 1 Torr. Silane flow is then halted, and 100 seem of GeH4 is flowed at the same temperature and pressure to deposit Ge. Ge may be deposited at a temperature below 380 0C, such as 340 0C for example. The SEM image in Fig. 9A shows that after a 10 min. deposition, about 40 nm of germanium was selectively deposited on the Si seed layer located on a TiN layer. As shown in Fig. 9B, no germanium deposition on the SiO2 surface is observed when the TiN layer is omitted. By using a two step deposition with both steps conducted at a temperature of 380°C or less, Ge can be selectively deposited on TiN and not on adjacent SiO2 surfaces. An example of a two step deposition of a planar Ge film is described in S. B. Herner, Electrochemical and Solid-State Letters, 9 (5) Gl 61 -Gl 63 (2006), which is incorporated herein by reference. Preferably, the silicon seed layer is deposited at a temperature below 440 0C and the germanium pillar is deposited at a temperature below 400 0C.
[0098] In preferred embodiments, the pillar comprises a semiconductor junction diode. The term junction diode is used herein to refer to a semiconductor device with the property of non-ohmic conduction, having two terminal electrodes, and made of semiconducting material which is p-type at one electrode and n-type at the other. Examples include p-n diodes and n-p diodes, which have p-type semiconductor material and n-type semiconductor material in contact, such as Zener diodes, and p-i-n diodes, in which intrinsic (undoped) semiconductor material is interposed between p-type semiconductor material and n-type semiconductor material.
[0099] Bottom heavily doped region 112 of the diode 300 can be formed by selective deposition and doping. The germanium can be deposited and then doped, but is preferably doped in situ by flowing a dopant containing gas providing n-type dopant atoms, for example phosphorus (i.e., in the form of phosphine gas added to the germane gas) during selective CVD of the germanium. Heavily doped region 112 is preferably between about 10 and about 80 nm thick.
[0100] Intrinsic diode region 114 can then be formed by the selective CVD method. The intrinsic region 114 deposition can be conducted during a separate CVD step or by turning off the flow of the dopant gas, such as phosphine, during the same CVD step as the deposition of region 1 12. The intrinsic region 114 may be between about 110 and about 330 nm, preferably about 200 nm thick. An optional CMP process can then be conducted to remove any bridged intrinsic germanium on top of the insulating layer 108, and to planarize the surface preparing for the following lithography step. The p-type top region 116 is then formed by the selective CVD method. The p-type top region 116 deposition can be conducted during a separate CVD step from the region 114 deposition step, or by turning on the flow of the dopant gas, such as boron trichloride, during the same CVD step as the region 114 deposition step. The p-type region 116 may be between about 10 and about 80 nm thick. An optional CMP process can then be conducted to remove any bridged p-type germanium on top of the insulating layer 108, and to planarize the surface preparing for the following lithography step. Alternatively, the p-type region 116 may be formed by ion implantation into the upper region of the intrinsic region 1 14. The p-type dopant is preferably boron or BF2. The formation of the p-type region 116 completes formation of pillar shaped diodes 300. The resulting structure is shown in Fig. 8C. [0101] In the illustrative example, the bottom region 112 is N+ (heavily doped n-type), and the top region 116 is P+. However, the vertical pillar can also comprise other structures. For example, bottom region 112 can be P+ with N+ top region 116. In addition, the middle region can intentionally be lightly doped, or it can be intrinsic, or not intentionally doped. An undoped region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped. Such a diode can be considered a p-i-n diode. Thus, a P+/N7N+, P+/P7N+, N+/N7P+ or N+/P7P+ diode can be formed.
[0102] The pitch and width of the pillars 300 are defined by the openings 110, and can be varied as desired. In one preferred embodiment, the pitch of the pillars (the distance from the center of one pillar to the center of the next pillar) is about 300 nm, while the width of a pillar varies between about 100 and about 150 nm. In another preferred embodiment, the pitch of the pillars is about 260 nm, while the width of a pillar varies between about 90 and 130 nm. In general, the pillars 300 preferably have a substantially cylindrical shape with a circular or roughly circular cross section having a diameter of 250 nm or less.
[0103] Turning to Fig. 8D, upper electrodes 400 can be formed in the same manner as the bottom electrodes 204, for example by depositing as Ti (bottom)/Al/TiN (top), or Ti/TiN/Al/TiN, or Ti/Al/TiW, or any combination of these layers. The TiN or TiW layer on top can serve as an antireflective coating for patterning the conductor and as a polish stop material for subsequent CMP of an insulating layer 500, as will be described below. The conductive layers described above are patterned and etched using any suitable masking and etching technique to form substantially parallel, substantially coplanar conductor rails 400, extending perpendicular to conductor rails 204. In a preferred embodiment, photoresist is deposited, patterned by photolithography and the layers etched, and then the photoresist removed using standard process techniques. Alternatively, an optional insulating oxide, nitride, or oxynitride layer may be formed on heavily doped regions 116 and the conductors 400 are formed by a Damascene process, as described in Radigan et al., US Patent Application No. 11/444,936, "Conductive Hard Mask to Protect Patterned Features During Trench Etch," filed May 31, 2006, hereby incorporated by reference in its entirety.
[0104] Next, another insulating layer 500 is deposited over and between conductor rails 400. The layer 500 material can be any known electrically insulating material, such as silicon oxide, silicon nitride, or silicon oxynitride. In a preferred embodiment, silicon oxide is used as this insulating material. This insulating layer can be planarized with the upper surface of the conductor rails 400 by CMP or etchback. A three dimensional view of the resulting device is shown in Fig. 8E.
[0105] In the above description, the barrier layer 202 was formed before the insulating layer 108 is deposited. Alternatively, the sequence of the fabrication steps can be altered. For example, the insulating layer 108 with openings can be formed on the conductors 204 first, before selectively forming a tungsten nitride pattern in the opening to facilitate later germanium or germanium rich silicon germanium deposition.
[0106] The pillar device, such as a diode device, may comprise a one-time programmable (OTP) or re-writable nonvolatile memory device. For example, each diode pillar 300 may act as a steering element of a memory cell and another material or layer 118 which acts as a resistivity switching material (i.e., which stores the data) is provided in series with the diode 300 between the electrodes 204 and 400, as shown in Fig. 8E. Specifically, Fig. 8E shows one nonvolatile memory cell which comprises the pillar diode 300 in series with the resistivity switching material 118, such as an antifuse (i.e., antifuse dielectric), fuse, polysilicon memory effect material, metal oxide (such as nickel oxide, perovskite materials, etc,), carbon nanotubes, phase change materials, switchable complex metal oxides, conductive bridge elements, or switchable polymers. The resistivity switching material 118, such as a thin silicon oxide antifuse dielectric layer may be deposited over the diode pillar 300 followed by the deposition of the upper electrode 400 on the antifuse dielectric layer. Alternatively, the resistivity switching material 118 may be located below the diode pillar 300, such as between conductive layers 200 and 202. In this embodiment, a resistivity of the resistivity switching material 118 is increased or decreased in response to a forward and/or reverse bias provided between the electrodes 204 and 400.
[0107] In another embodiment, the pillar diode 300 itself may be used as the data storage device. In this embodiment, the resistivity of the pillar diode 300 is varied by the application of a forward and/or reverse bias provided between the electrodes 204 and 400, as described in US Patent Application No. 10/955,549 filed September 29, 2004 (which corresponds to US Published Application 2005/0052915 Al) and US Patent Application No. 11/693,845 filed March 30, 2007 (which corresponds to US Published Application 2007/0164309 Al), both of which are incorporated by reference in their entirety. In this embodiment, the resistivity switching material 118 may be omitted if desired.
[0108] Formation of a first memory level has been described. Additional memory levels can be formed above this first memory level to form a monolithic three dimensional memory array. In some embodiments, conductors can be shared between memory levels; i.e. top conductor 400 would serve as the bottom conductor of the next memory level. In other embodiments, an interlevel dielectric (not shown) is formed above the first memory level, its surface planarized, and construction of a second memory level begins on this planarized interlevel dielectric, with no shared conductors.
[0109] A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, US Patent No. 5,915,167, "Three dimensional structure memory." The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays. In contrast to the process described in Leedy, in an embodiment of the present invention, diodes share a conducting wire or electrode between two adjacent layers. In this configuration, the "bottom" diode will "point" in the opposite direction of the diode in the "upper" layer (i.e., the same conductivity type layer of each diode electrically contacts the same wire or electrode located between the diodes). With this configuration, the two diodes can share the wire between them and still not have a read or write disturb issue.
[0110] A monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or indeed any number of memory levels can be formed above the substrate in such a multilevel array.
[0111] In summary, a method to make germanium pillar devices by the selective deposition of Ge or Ge rich SiGe into openings etched in an insulating layer was described. By filling the openings with the semiconductor pillars, several difficulties with the prior subtractive method are overcome and eight process steps can be eliminated in a four layer device. For example, the high aspect ratio oxide gap fill between the pillars is omitted which allows the deposition of simple blanket oxide films with good uniformity. Taller germanium pillars up to 8 microns in height can be fabricated in the deep openings in the insulating layer. The tall diodes reduce reverse leakage in vertical devices. Furthermore, the alignment of different layers is easier. All layers can align to a primary alignment mark without intermediate open frame etches.
[0112] Based upon the teachings of this disclosure, it is expected that one of ordinary skill in the art will be readily able to practice the present invention. The descriptions of the various embodiments provided herein are believed to provide ample insight and details of the present invention to enable one of ordinary skill to practice the invention. Although certain supporting circuits and fabrication steps are not specifically described, such circuits and protocols are well known, and no particular advantage is afforded by specific variations of such steps in the context of practicing this invention. Moreover, it is believed that one of ordinary skill in the art, equipped with the teaching of this disclosure, will be able to carry out the invention without undue experimentation. [0113] The foregoing details description has described only a few of the many possible implementations of the present invention. For this reason, this detailed description is intended by way of illustration, and not by way of limitations. Variations and modifications of the embodiments disclosed herein may be made based on the description set forth herein, without departing from the scope and spirit of the invention. It is only the following claims, including all equivalents, that are intended to define the scope of this invention.

Claims

Claims:
1. A method of making a semiconductor device, comprising: providing an insulating layer containing a plurality of openings, wherein the insulating layer is located over a substrate; forming a first semiconductor layer in the plurality of openings in the insulating layer and over the insulating layer; removing a first portion of the first semiconductor layer, wherein: first conductivity type second portions of the first semiconductor layer remain in lower portions of the plurality of openings in the insulating layer; and upper portions of the plurality of openings in the insulating layer remain unfilled; forming a second semiconductor layer in the upper portions of the plurality of openings in the insulating layer and over the insulating layer; and removing a first portion of the second semiconductor layer located over the insulating layer; wherein second conductivity type second portions of the second semiconductor layer remain in upper portions of the plurality of openings in the insulating layer to form a plurality of pillar shaped diodes in the plurality of openings.
2. The method of claim 1 , wherein the first and the second semiconductor layers comprise polycrystalline silicon, germanium or silicon-germanium or amorphous silicon, germanium or silicon-germanium which is crystallized in a subsequent step.
3. The method of claim 2, wherein: the first and the second semiconductor layers comprise polysilicon layers; the first semiconductor layer comprises an in-situ n-type doped polysilicon layer; the openings in the insulating layer have a half pitch of 45 nm or less; and the openings are formed by forming a positive photoresist over the insulating layer, exposing the photoresist to radiation while using an attenuated phase shift mask, patterning the exposed photoresist, and etching the openings in the insulating layer using the patterned photoresist as a mask.
4. The method of claim 3, wherein the radiation comprises radiation having a wavelength of 193 nm.
5. The method of claim 1, wherein the step of removing at first portion of the first semiconductor layer comprises planarizing the first semiconductor layer with an upper surface of the insulating layer followed by selectively etching the first semiconductor layer remaining in the upper portions of the plurality of openings in the insulating layer.
6. The method of claim 5, wherein: the step of forming the first semiconductor layer comprises forming an intrinsic semiconductor layer and implanting dopants of a first conductivity type to a predetermined depth into the first semiconductor layer before or after the step of planarizing the first semiconductor layer, such that intrinsic portions of the first semiconductor layer remain in lower portions of the plurality of openings; and the step of selectively etching the first semiconductor layer comprises etching doped portions of the first semiconductor layer until the intrinsic portions of the first semiconductor layer are reached.
7. The method of claim 6, further comprising: detecting when the intrinsic portions of the first semiconductor layer are reached during the step of selective etching; and doping the intrinsic portions of the first semiconductor layer with dopants of the first conductivity type after the step of selective etching.
8. The method of claim 1 , wherein the step of forming the second semiconductor layer comprises: forming the second semiconductor layer comprising an intrinsic semiconductor material in the upper portions of the plurality of openings and over the insulating layer; planarizing the second semiconductor layer at least with an upper surface of the insulating layer using chemical mechanical polishing or etchback; and implanting dopants of the second conductivity type into upper sections of the second portions of the second semiconductor layer to form p-i-n pillar shaped diodes.
9. The method of claim 8, further comprising forming a silicon rich oxide layer or a silicon-germanium capping layer between the n-type region and an intrinsic region of each diode.
10. The method of claim 1 , wherein the step of removing at first portion of the first semiconductor layer comprises: planarizing the first semiconductor layer with an upper surface of the insulating layer using chemical mechanical polishing or etchback with optical end point detection; and after the step of planarizing, selectively anisotropically etching the first semiconductor layer remaining in the upper portions of the plurality of openings in the insulating layer with a level etch front to recess the first semiconductor layer in the plurality of openings in the insulating layer, such that the second portions of the first semiconductor layer remaining in the plurality of openings have a substantially planar upper surface.
1 1. The method of claim 1, wherein the step of removing at first portion of the first semiconductor layer comprises: planarizing the first semiconductor layer with an upper surface of the insulating layer using chemical mechanical polishing or etchback with optical end point detection; and after the step of planarizing, selectively isotropically etching the first semiconductor layer remaining in the upper portions of the plurality of openings in the insulating layer to recess the first semiconductor layer in the plurality of openings in the insulating layer, such that the second portions of the first semiconductor layer remaining in the plurality of openings have an annular shape with a groove in a middle.
12. The method of claim 1 , wherein: a n-type region of the diode contains a first vertical seam; a p-type region of the diode contains a second vertical seam; and first and the second vertical seams do not contact each other.
13. The method of claim 1 , further comprising forming an antifuse dielectric above or below the diode.
14. The method of claim 1 , further comprising: forming tungsten electrodes below the insulating layer; and nitriding the tungsten electrodes to form tungsten nitride barriers exposed in the plurality of openings in the insulating layer.
15. A method of making a semiconductor device, comprising: forming a plurality of tungsten electrodes; nitriding the tungsten electrodes to form tungsten nitride barriers on the plurality of tungsten electrodes; forming an insulating layer comprising a plurality of openings such that the tungsten nitride barriers are exposed in the plurality of openings in the insulating layer; and forming a plurality of semiconductor devices on the tungsten nitride barriers in the plurality of openings in the insulating layer.
16. The method of claim 15, wherein the plurality of semiconductor devices comprise a plurality of pillar shaped diodes.
17. The method of claim 16, wherein the step of forming the plurality of pillar shaped diodes comprises: forming a first semiconductor layer of a first conductivity type in the plurality of openings in the insulating layer and over the insulating layer; removing at first portion of the first semiconductor layer such that second portions of the first semiconductor layer remain in lower portions of the plurality of openings in the insulating layer and upper portions of the plurality of openings in the insulating layer remain unfilled; and forming a second semiconductor layer of a second conductivity type in the upper portions of the plurality of openings in the insulating layer.
18. The method of claim 15, wherein: the step of forming the insulating layer comprises forming the insulating layer on the plurality of tungsten electrodes followed by forming the plurality of openings in the insulating layer to expose upper surfaces of the plurality of tungsten electrodes; and the step of nitriding occurs after the step of forming the plurality of openings in the insulating layer such that upper surfaces of the plurality of tungsten electrodes are nitrided through the plurality of openings in the insulating layer.
19. The method of claim 18, wherein: the plurality of openings in the insulating layer are partially misaligned with the plurality of the tungsten electrodes; the step of forming the plurality of openings exposes at least portions of sidewalls of the tungsten electrodes; and the step of nitriding forms tungsten nitride barriers on the upper surfaces and on exposed portions of the sidewalls of the plurality of tungsten electrodes.
20. The method of claim 15, wherein: the step of nitriding occurs before the step of forming the insulating layer; and the step of forming the insulating layer comprises forming the insulating layer on the tungsten nitride barriers followed by forming the plurality of openings in the insulating layer to expose upper surfaces of the tungsten nitride barriers.
21. The method of claim 20, further comprising performing a second nitriding step after forming the plurality of openings in the insulating layer to enhance the tungsten nitride barriers and to nitride at least one sidewall of the plurality of openings in the insulating layer.
22. The method of claim 20, wherein a lower insulating layer separates adjacent tungsten electrodes from each other and the step of nitriding nitrides an upper surface of the lower insulating layer.
23. The method of claim 15, wherein the step of nitriding comprises a plasma nitriding step.
24. A method of making a semiconductor device, comprising: forming a plurality of tungsten electrodes; selectively forming a plurality of conductive barriers on exposed upper surfaces of the tungsten electrodes; forming an insulating layer comprising a plurality of openings such that the plurality of conductive barriers are exposed in the plurality of openings in the insulating layer; and forming a plurality of semiconductor devices on the conductive barriers in the plurality of openings.
25. The method of claim 24, wherein the plurality of semiconductor devices comprise a plurality of pillar shaped diodes.
26. The method of claim 25, wherein the step of forming the plurality of pillar shaped diodes comprises: forming a first semiconductor layer of a first conductivity type in the plurality of openings in the insulating layer and over the insulating layer; removing at first portion of the first semiconductor layer such that second portions of the first semiconductor layer remain in lower portions of the plurality of openings in the insulating layer and upper portions of the plurality of openings in the insulating layer remain unfilled; and forming a second semiconductor layer of a second conductivity type in the upper portions of the plurality of openings in the insulating layer.
27. The method of claim 24, wherein the step of forming the plurality of conductive barriers comprises selective atomic layer deposition of a barrier metal or metal alloy on the plurality of tungsten electrodes.
28. The method of claim 27, wherein the barrier metal or metal alloy comprises tantalum, niobium or alloys thereof.
29. The method of claim 24, wherein the step of forming the plurality of conductive barriers comprises selective plating a barrier metal or metal alloy on the plurality of tungsten electrodes.
30. The method of claim 24, wherein: the step of forming the insulating layer comprises forming the insulating layer on the plurality of tungsten electrodes followed by forming the plurality of openings in the insulating layer to expose upper surfaces of the plurality of tungsten electrodes; and the step of selectively forming the plurality of conductive barriers occurs after the step of forming the plurality of openings in the insulating layer such that the plurality of conductive barriers are selectively formed on the upper surfaces of the plurality of tungsten electrodes through the plurality of openings in the insulating layer.
31. The method of claim 30, wherein: the plurality of openings in the insulating layer are partially misaligned with the plurality of the tungsten electrodes; the step of forming the plurality of openings exposes at least portions of sidewalls of the tungsten electrodes; and the step of selectively forming a plurality of conductive barriers forms the conductive barriers on the upper surfaces and on exposed portions of the sidewalls of the plurality of tungsten electrodes.
32. The method of claim 24, wherein: the step of selectively forming the plurality of conductive barriers occurs before the step of forming the insulating layer; and the step of forming the insulating layer comprises forming the insulating layer on the plurality of conductive barriers followed by forming the plurality of openings in the insulating layer to expose upper surfaces of the plurality of conductive barriers.
33. A method of making a semiconductor device, comprising: forming a plurality of lower electrodes over a substrate; forming an insulating layer containing a plurality of first openings having a first width, such that the lower electrodes are exposed in the first openings; forming first semiconductor regions of a first conductivity type in the first openings; forming a sacrificial material in the plurality of first openings over the first semiconductor regions; forming a plurality of second openings in the insulating layer to expose the sacrificial material, the second openings having a second width greater than the first width; removing the sacrificial material from the first openings through the second openings; forming second semiconductor regions of a second conductivity type in the first openings, wherein the first and the second semiconductor regions form pillar shaped diodes in the first openings; and forming upper electrodes in the second openings in the insulating layer such that the upper electrodes contact the second semiconductor regions.
34. The method of claim 33, further comprising forming intrinsic third semiconductor regions between the first and the second semiconductor regions to form p-i-n pillar shaped diodes.
35. The method of claim 34, wherein: the step of forming the first semiconductor regions comprises forming a first semiconductor layer in the plurality of first openings in the insulating layer and over the insulating layer followed by removing a portion of the first semiconductor layer, such that the first semiconductor regions remain in lower portions of the plurality of first openings and upper portions of the first plurality of openings remain unfilled; and the step of forming the second semiconductor regions comprises forming a second semiconductor layer in the upper portions of the plurality of first openings in the insulating layer and over the insulating layer followed by removing a portion of the second semiconductor layer located over the insulating layer, such that the second semiconductor regions remain in the upper portions of the plurality of first openings in the insulating layer.
36. A pillar shaped semiconductor diode comprising a substrate, a first conductivity type region located over the substrate, and second conductivity type region located over the first conductivity type region, wherein: a) the first conductivity type region of the diode contains a first vertical seam, the second conductivity type region of the diode contains a second vertical seam, and the first and the second seams do not contact each other; or b) sidewalls of the first conductivity type region have a different taper angle than sidewalls of the second conductivity type region and a discontinuity is located in a sidewall of the diode.
37. The diode of claim 36, wherein the first conductivity type region of the diode contains the first vertical seam, the second conductivity type region of the diode contains the second vertical seam, the first and the second seams do not contact each other.
38. The diode of claim 37, further comprising an intrinsic semiconductor region located between the first conductivity type region and the second conductivity type region.
39. The diode of claim 36, wherein the sidewalls of the first conductivity type region have a different taper angle than sidewalls of the second conductivity type region and the discontinuity is located in the sidewall of the diode.
40. The diode of claim 39, wherein: the first conductivity type region has a narrower taper angle than the second conductivity type region; an intrinsic semiconductor region is located between the first and the second conductivity type regions; and the discontinuity comprises a step in the sidewall of the diode between the intrinsic semiconductor region and the first conductivity type region.
41. The diode of claim 36, wherein: a) the first conductivity type region of the diode contains the first vertical seam, the second conductivity type region of the diode contains the second vertical seam, and the first and the second seams do not contact each other; and b) sidewalls of the first conductivity type region have the different taper angle than sidewalls of the second conductivity type region and the discontinuity is located in the sidewall of the diode.
42. A semiconductor device, comprising: a substrate; a tungsten electrode; a tungsten nitride barrier on the tungsten electrode; a pillar shaped diode located on the tungsten nitride barrier; and an upper electrode located on the pillar shaped diode.
43. The device of claim 42, wherein the pillar shaped diode comprises a p-i-n diode.
44. The device of claim 43, wherein the pillar shaped diode is partially misaligned with the tungsten electrode and the tungsten nitride barrier is located on an upper surface of the tungsten electrode and on at least a portion of a sidewall of the tungsten electrode.
45. The device of claim 43, further comprising a first oxide insulating layer located around the diode, wherein a portion of the first oxide insulating layer located adjacent to at least one sidewall of the pillar shaped diode is nitrided.
46. The device of claim 43, further comprising a second oxide insulating layer located adjacent to the tungsten electrode, wherein an upper portion of the second oxide insulating layer is nitrided.
47. A method of making a pillar diode, comprising: forming a titanium nitride pattern over a substrate; and forming an insulating layer on the titanium nitride pattern; forming an opening in insulating layer to expose the titanium nitride pattern; forming a silicon seed layer in the opening on the titanium nitride pattern; selectively depositing a first conductivity type germanium or germanium rich silicon germanium semiconductor material on the silicon seed layer in the opening; selectively depositing intrinsic germanium or germanium rich silicon germanium semiconductor material on the first conductivity type germanium or germanium rich silicon germanium semiconductor material; and; implanting second conductivity type dopant into an upper portion of the intrinsic first conductivity type germanium or germanium rich silicon germanium semiconductor material semiconductor material to form a p-i-n diode.
48. The method of claim 47, wherein the semiconductor material is germanium.
49. The method of claim 47, wherein the semiconductor material is germanium rich silicon germanium.
50. The method of claim 47, further comprising forming an antifuse dielectric layer on the diode or under the diode.
51. A method of making a pillar device, comprising: providing an insulating layer having an opening; and selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening to form the pillar device.
52. The method of claim 51 , wherein the semiconductor material is germanium.
53. The method of claim 51 , wherein the semiconductor material is germanium rich silicon germanium.
54. The method of claim 51, wherein titanium nitride, titanium tungsten or tungsten nitride is exposed in the opening in the insulating layer.
55. The method of claim 54, further comprising depositing a silicon seed layer on the titanium nitride, titanium tungsten or tungsten nitride.
56. The method of claim 55, wherein the silicon seed layer is deposited by chemical vapor deposition at a temperature below 440 0C.
57. The method of claim 55, wherein the semiconductor material is selectively deposited on the seed layer.
58. The method of claim 57, the semiconductor material is selectively deposited by chemical vapor deposition at a temperature below 440 0C.
59. The method of claim 54, further comprising: forming the titanium nitride, titanium tungsten or tungsten nitride pattern over a substrate; forming an insulating layer on the titanium nitride, titanium tungsten or tungsten nitride pattern; and forming the opening in the insulating layer to expose the titanium nitride, titanium tungsten or tungsten nitride pattern.
60. The method of claim 54, further comprising: forming the insulating layer over a substrate; forming the opening in the insulating layer; and selectively forming a titanium nitride, titanium tungsten, or tungsten nitride pattern in the opening.
61. The method of claim 51 , wherein the pillar device comprises a diode.
62. The method of claim 61 , wherein the step of selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening comprises selectively depositing a first conductivity type germanium or germanium rich silicon germanium semiconductor material.
63. The method of claim 62, further comprising: selectively depositing intrinsic germanium or germanium rich silicon germanium semiconductor material into the opening on the first conductivity type material; and implanting second conductivity type dopants into an upper portion of the intrinsic germanium or germanium rich silicon germanium semiconductor material to form a p-i-n diode.
64. The method of claim 62, further comprising: selectively depositing intrinsic germanium or germanium rich silicon germanium semiconductor material into the opening on the first conductivity type semiconductor material; and selectively depositing a second conductivity type germanium or germanium rich silicon germanium semiconductor material in the opening on the intrinsic germanium or germanium rich silicon germanium semiconductor material to form a p-i-n diode.
65. The method of claim 61 , further comprising forming an antifuse dielectric layer on diode or under the diode.
66. The method of claim 61 , wherein the pillar device is a nonvolatile memory device.
PCT/US2009/030937 2008-01-15 2009-01-14 Pillar devices and methods of making thereof WO2009091786A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2009801082434A CN101978497A (en) 2008-01-15 2009-01-14 Pillar devices and methods of making thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/007,781 US7906392B2 (en) 2008-01-15 2008-01-15 Pillar devices and methods of making thereof
US12/007,780 2008-01-15
US12/007,780 US7745312B2 (en) 2008-01-15 2008-01-15 Selective germanium deposition for pillar devices
US12/007,781 2008-01-15

Publications (1)

Publication Number Publication Date
WO2009091786A1 true WO2009091786A1 (en) 2009-07-23

Family

ID=40470135

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/030937 WO2009091786A1 (en) 2008-01-15 2009-01-14 Pillar devices and methods of making thereof

Country Status (4)

Country Link
KR (1) KR101573270B1 (en)
CN (1) CN101978497A (en)
TW (1) TWI449131B (en)
WO (1) WO2009091786A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011091416A1 (en) * 2010-01-25 2011-07-28 Sandisk 3D Llc Damascene method of making a nonvolatile memory device
US8710481B2 (en) 2012-01-23 2014-04-29 Sandisk 3D Llc Non-volatile memory cell containing a nano-rail electrode
US8879299B2 (en) 2011-10-17 2014-11-04 Sandisk 3D Llc Non-volatile memory cell containing an in-cell resistor
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10381366B1 (en) 2018-02-17 2019-08-13 Sandisk Technologies Llc Air gap three-dimensional cross rail memory device and method of making thereof
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018159186A1 (en) * 2017-02-28 2018-09-07 富士フイルム株式会社 Semiconductor device, laminate, semiconductor device manufacturing method, and laminate manufacturing method

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0467671A (en) * 1990-07-09 1992-03-03 Matsushita Electron Corp Manufacture of semiconductor device
JPH06334139A (en) * 1993-05-18 1994-12-02 Sony Corp Read-only memory and its manufacture
US5891778A (en) * 1997-02-03 1999-04-06 United Microelectronics Corp. Method of fabricating a semiconductor read-only memory device based on a silicon-on-insulation structure
US5937280A (en) * 1997-01-15 1999-08-10 United Microelectronics Corp. Method of manufacturing a ROM
US6429449B1 (en) * 1995-06-07 2002-08-06 Micron Technology, Inc. Three-dimensional container diode for use with multi-state material in a non-volatile memory cell
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US20050062079A1 (en) * 2003-09-18 2005-03-24 Chao-I Wu [methods for forming pn junction, one-time programmable read-only memory and fabricating processes thereof]
US20060284237A1 (en) * 2005-06-20 2006-12-21 Jae-Hyun Park Phase change memory cells having a cell diode and a bottom electrode self-aligned with each other and methods of fabricating the same
US20070086235A1 (en) * 2005-10-18 2007-04-19 Du-Eung Kim Phase-change memory device and method of fabricating the same
WO2007067448A1 (en) * 2005-12-09 2007-06-14 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
KR100766504B1 (en) * 2006-09-29 2007-10-15 삼성전자주식회사 Semiconductor device and method of fabricating the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4235440B2 (en) * 2002-12-13 2009-03-11 キヤノン株式会社 Semiconductor device array and manufacturing method thereof
US7800932B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7410838B2 (en) * 2004-04-29 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication methods for memory cells

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0467671A (en) * 1990-07-09 1992-03-03 Matsushita Electron Corp Manufacture of semiconductor device
JPH06334139A (en) * 1993-05-18 1994-12-02 Sony Corp Read-only memory and its manufacture
US6429449B1 (en) * 1995-06-07 2002-08-06 Micron Technology, Inc. Three-dimensional container diode for use with multi-state material in a non-volatile memory cell
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US5937280A (en) * 1997-01-15 1999-08-10 United Microelectronics Corp. Method of manufacturing a ROM
US5891778A (en) * 1997-02-03 1999-04-06 United Microelectronics Corp. Method of fabricating a semiconductor read-only memory device based on a silicon-on-insulation structure
US20050062079A1 (en) * 2003-09-18 2005-03-24 Chao-I Wu [methods for forming pn junction, one-time programmable read-only memory and fabricating processes thereof]
US20060284237A1 (en) * 2005-06-20 2006-12-21 Jae-Hyun Park Phase change memory cells having a cell diode and a bottom electrode self-aligned with each other and methods of fabricating the same
US20070086235A1 (en) * 2005-10-18 2007-04-19 Du-Eung Kim Phase-change memory device and method of fabricating the same
WO2007067448A1 (en) * 2005-12-09 2007-06-14 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
KR100766504B1 (en) * 2006-09-29 2007-10-15 삼성전자주식회사 Semiconductor device and method of fabricating the same
US20080078984A1 (en) * 2006-09-29 2008-04-03 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011091416A1 (en) * 2010-01-25 2011-07-28 Sandisk 3D Llc Damascene method of making a nonvolatile memory device
US8097498B2 (en) 2010-01-25 2012-01-17 Sandisk 3D Llc Damascene method of making a nonvolatile memory device
US8222091B2 (en) 2010-01-25 2012-07-17 Sandisk 3D Llc Damascene method of making a nonvolatile memory device
US8879299B2 (en) 2011-10-17 2014-11-04 Sandisk 3D Llc Non-volatile memory cell containing an in-cell resistor
US8710481B2 (en) 2012-01-23 2014-04-29 Sandisk 3D Llc Non-volatile memory cell containing a nano-rail electrode
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10381366B1 (en) 2018-02-17 2019-08-13 Sandisk Technologies Llc Air gap three-dimensional cross rail memory device and method of making thereof
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same

Also Published As

Publication number Publication date
KR101573270B1 (en) 2015-12-01
KR20100129272A (en) 2010-12-08
CN101978497A (en) 2011-02-16
TWI449131B (en) 2014-08-11
TW200947621A (en) 2009-11-16

Similar Documents

Publication Publication Date Title
US7906392B2 (en) Pillar devices and methods of making thereof
US7745312B2 (en) Selective germanium deposition for pillar devices
US7579232B1 (en) Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US11621277B2 (en) Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US7575984B2 (en) Conductive hard mask to protect patterned features during trench etch
US7927977B2 (en) Method of making damascene diodes using sacrificial material
US7422985B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
KR101573270B1 (en) Pillar devices and methods of making thereof
US20160240665A1 (en) Vertical transistor and local interconnect structure
US8193074B2 (en) Integration of damascene type diodes and conductive wires for memory device
US20120276744A1 (en) Patterning Method for High Density Pillar Structures
US20070102724A1 (en) Vertical diode doped with antimony to avoid or limit dopant diffusion
US8008213B2 (en) Self-assembly process for memory array
WO2011008761A2 (en) Method of making damascene diodes using selective etching methods
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US7811916B2 (en) Method for isotropic doping of a non-planar surface exposed in a void

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980108243.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09701962

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20107017757

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09701962

Country of ref document: EP

Kind code of ref document: A1