WO2009101878A1 - Pattern forming method, semiconductor manufacturing apparatus and storage medium - Google Patents

Pattern forming method, semiconductor manufacturing apparatus and storage medium Download PDF

Info

Publication number
WO2009101878A1
WO2009101878A1 PCT/JP2009/051802 JP2009051802W WO2009101878A1 WO 2009101878 A1 WO2009101878 A1 WO 2009101878A1 JP 2009051802 W JP2009051802 W JP 2009051802W WO 2009101878 A1 WO2009101878 A1 WO 2009101878A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
pattern
width
mask
line
Prior art date
Application number
PCT/JP2009/051802
Other languages
French (fr)
Japanese (ja)
Inventor
Akitake Tamura
Teruyuki Hayashi
Kaoru Fujihara
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2009101878A1 publication Critical patent/WO2009101878A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Definitions

  • the present invention relates to a pattern forming method, a semiconductor manufacturing apparatus, and a storage medium storing a computer program that causes the semiconductor apparatus to execute the pattern method.
  • a multilayered fine wiring structure is formed on a semiconductor wafer (hereinafter referred to as a wafer) that is a substrate to be processed by using a photolithography technique.
  • a resist film made of, for example, a photosensitive resin is applied to an upper layer of a film to be etched such as an insulating film on a wafer, and the resist film is patterned by exposing and developing the resist film.
  • a wiring structure is formed by forming a mask having a pattern corresponding to, and then etching the film to be etched through this mask. Therefore, the higher the resolution of the exposure apparatus used in the exposure process, that is, the shorter the wavelength of the laser light that is the light source of the exposure apparatus, the higher the mask density can be obtained, and the fine wiring structure can be formed. .
  • an exposure apparatus equipped with an ArF excimer laser capable of forming a pattern with a line width of about 70 nm is used instead of a conventional exposure apparatus equipped with a KrF excimer laser that performs exposure with a line width of about 130 nm.
  • a technique called immersion exposure is used in which exposure is performed with an ArF excimer laser having a shorter wavelength.
  • a technique for forming a pattern with a line width of about 50 nm has been developed.
  • FIG. 1A is a top view showing the circuit structure
  • FIG. 1B is a cross-sectional view taken along line AA in FIG. 1A.
  • reference numeral 101 denotes a plurality of word lines formed in a straight line on the surface of the wafer 100 by an etching process, which are formed in parallel to each other when viewed from above.
  • the word line 101 has a stacked structure in which, for example, a silicon oxide film 105, a polysilicon film 106, an ONO film 107, and a polysilicon film 108 are stacked in this order from the bottom.
  • a plurality of silicon films 102 as conductors are arranged on the surface of the wafer 100 so as to cross the word lines 101 and to be orthogonal to the word lines 101. These silicon films 102 form a plurality of lines 102 ⁇ / b> A called “active” through which parallel electricity flows.
  • An intersection 109 between the arrangement direction of the silicon film 102 and the word line 101 includes two silicon films 102, a silicon oxide film 105 that bridges the two silicon films 102, and a polysilicon film on the silicon oxide film 105. It functions as a memory cell composed of a transistor composed of the transistor 106 and a capacitor composed of the polysilicon film 106, the ONO film 107, and the polysilicon film 108.
  • the width of the word line 101 is L1 and the width of the groove 101A between the adjacent word lines 101 is L2, if L2 is too large with respect to L1, there is a possibility that charges are not sufficiently accumulated in the ONO film 107. There is. If L1 is too large relative to L2, between the word lines 101, between the one silicon oxide film 106 and the adjacent silicon oxide film 106, and between the one polysilicon film 108 and the adjacent polysilicon film. The parasitic capacitance between the film 108 is increased. In this case, charges may be accumulated or electricity may flow between the silicon oxide films 106 and 106 and between the polysilicon films 108 and 108, and the device function may not be performed. .
  • L1: L2 1: 1.
  • the width of the line 102A by the silicon film 102 is L3 and the interval between the adjacent lines 102A is L4, the L3 and L4 are approximately the same size as L1 and L2 in order to secure the function of the device.
  • a line 102A is formed.
  • the line width of the mask portion (line) and the width of the groove are generally 1: 1. Therefore, since the resist pattern is also transferred in the inorganic film below the resist mask, the line width of the mask portion and the width of the groove are approximately 1: 1. Therefore, as described above, the pattern width (L1, L2 (L3, L4)) finally formed on the polysilicon film 108 is substantially the same width, that is, the pattern mask made of the above-described deposit.
  • a process called trimming or shrinking that narrows the width of the line 111 by etching after patterning the line 111 and the groove on the inorganic film 110 as shown in FIG. 2A so that the line width and the groove width of the portion are about the same. Like to do.
  • a deposit 112 that is a sidewall is formed in accordance with the shape of the sidewall. If the deposit 112 having such a shape is formed, a wiring structure having a desired width and interval may not be obtained when the polysilicon film 108 is etched.
  • the limit of the line width of the pattern formed on the polysilicon film 108 is considered to be about 30 nm. Therefore, there is a further demand for miniaturization of wiring, and it is considered that it is not possible to cope with, for example, forming a wiring of about 10 nm.
  • an inorganic film made of, for example, SiO 2 is interposed between the inorganic film 110 and the polysilicon film 108 in advance, and the deposit 112 described above is formed, and then the inorganic film 110 is etched. Then, the inorganic film is etched using the deposit 112 as a mask to form a pattern, and then the deposit 112 is removed and the inorganic film on which the pattern is formed is trimmed again.
  • patent document 1 describes the manufacturing method of the semiconductor device using this double patterning, such a problem cannot be solved.
  • the first aspect of the present invention provides a pattern forming method for forming a pattern consisting of a number of parallel lines on a film on a substrate by plasma etching.
  • This pattern forming method Using a substrate in which a film to be etched and a sacrificial film are stacked from the lower side, a first mask pattern consisting of a large number of lines is formed on the sacrificial film so that the ratio of the line width to the line spacing dimension is 3 : A step of forming to be 5, After forming a thin film on the surface of the first mask pattern, anisotropic etching of the thin film is performed until the sacrificial film is exposed by plasma, and the lines of the first mask pattern are formed on both side walls of the line.
  • Forming a deposit made of the thin film having a width of 1/3 of the width of The line is removed to leave the deposit, the sacrificial film is etched by plasma using the deposit as a mask, and further the deposit is removed, whereby a second mask having a large number of lines in the sacrificial film is obtained.
  • Forming a pattern After forming a thin film on the surface of the second mask pattern, anisotropic etching of the thin film is performed until the film to be etched is exposed by plasma, and the second mask pattern is formed on both side walls of the line.
  • Forming a deposit comprising the thin film having the same width as the line; Lines in the second mask pattern are removed to leave the thin film, and the film to be etched is etched with plasma using the deposit as a mask, and further, the deposit is removed. Forming a pattern of lines.
  • a second aspect of the present invention is the pattern forming method according to the first aspect, wherein the first mask pattern is formed by a photoresist mask containing an organic substance, and the sacrificial film is an antireflection film containing an organic substance.
  • a pattern forming method is provided.
  • a third aspect of the present invention is a loader module in which a carrier containing a substrate is placed, and a substrate in the carrier is loaded and unloaded;
  • Substrate transfer means for transferring a substrate between the transfer chamber, the loader module, the film forming module and the etching module;
  • a semiconductor manufacturing apparatus comprising: a control unit that controls an operation of the substrate transfer unit so as to perform the pattern forming method according to the first or second aspect.
  • a fourth aspect of the present invention is a storage medium storing a computer program that runs on a computer, The computer program provides a storage medium in which steps are combined so as to implement the pattern forming method of the first or second aspect.
  • the pattern forming method in a pattern forming method for forming parallel line-shaped patterns on a film on a substrate by plasma etching, the pattern forming method, a semiconductor manufacturing apparatus, and a memory capable of miniaturizing the pattern A medium is provided.
  • FIG. 1 is a top view showing a NAND flash memory which is an example of a semiconductor device.
  • 1B is a cross-sectional view showing the NAND flash memory shown in FIG. 1A.
  • FIG. It is sectional drawing which shows an example of the mask formed on the semiconductor substrate.
  • FIG. 2B is a cross-sectional view illustrating an example of the trimmed mask shown in FIG. 2A.
  • FIG. 2C shows an example of the deposit formed in the side wall of the trimmed mask shown by FIG. 2C.
  • It is a schematic diagram explaining one process of the manufacturing process of the semiconductor device by the 1st Embodiment of this invention. It is a schematic diagram explaining the process after the process shown by FIG. 3A. It is a schematic diagram explaining the process after the process shown by FIG. 3B.
  • FIG. 3C It is a schematic diagram explaining the process after the process shown by FIG. 3C. It is an expansion schematic diagram explaining the process shown by FIG. 3B.
  • FIG. 4B is an enlarged schematic view for explaining the process shown in FIG. 3B following FIG. 4A. It is a schematic diagram explaining the process after the process shown by FIG. 3D. It is a schematic diagram explaining the process after the process shown by FIG. 5A. It is a schematic diagram explaining the process after the process shown by FIG. 5B. It is a schematic diagram explaining the process after the process shown by FIG. 5C. It is a schematic diagram explaining the process after the process shown by FIG. 5D. It is a schematic diagram explaining the process after the process shown by FIG. 5E. It is a schematic diagram explaining the process after the process shown by FIG.
  • FIG. 6A It is a schematic diagram explaining one process of the manufacturing process of a semiconductor device by the modification of 1st Embodiment. It is a schematic diagram explaining the process after the process shown by FIG. 7A. It is a schematic diagram explaining the process after the process shown by FIG. 7B. It is a schematic diagram explaining the process after the process shown by FIG. 7C. It is a schematic diagram explaining the process after the process shown by FIG. 7D. It is a schematic diagram explaining the process after the process shown by FIG. 8A. It is a schematic diagram explaining the process after the process shown by FIG. 8B. It is a schematic diagram explaining the process after the process shown by FIG. 8C. It is a schematic diagram explaining the process after the process shown by FIG. 8D.
  • FIG. 9A It is a schematic diagram explaining the process after the process shown by FIG. 9A. It is a schematic diagram explaining the process after the process shown by FIG. 9B. It is a schematic diagram explaining the process after the process shown by FIG. 9C.
  • FIG. 7B is a schematic diagram for explaining a process corresponding to the process shown in FIG. 7A, which is one process of the manufacturing process of the semiconductor device according to another modification of the first embodiment. It is process drawing which showed an example of the manufacturing process of the semiconductor device by the 2nd Embodiment of this invention. It is a schematic diagram explaining the process after the process shown by FIG. 11A. It is a schematic diagram explaining the process after the process shown by FIG. 11B. It is a schematic diagram explaining the process after the process shown by FIG. 11C.
  • FIG. 12A It is a schematic diagram explaining the process after the process shown by FIG. 12A. It is a schematic diagram explaining the process after the process shown by FIG. 12B. It is a schematic diagram explaining the process after the process shown by FIG. 12C. It is a schematic diagram explaining the process after the process shown by FIG. 13A. It is a schematic diagram explaining the process after the process shown by FIG. 13B. It is a schematic diagram explaining the process after the process shown by FIG. 13C. It is a schematic diagram explaining the process after the process shown by FIG. 14A. It is a schematic diagram explaining the process after the process shown by FIG. 14B. It is the schematic diagram which showed an example of the semiconductor device by the 4th Embodiment of this invention. It is the table which showed an example of the dimension of the pattern of the semiconductor device by 4th Embodiment. It is a top view which shows an example of the semiconductor manufacturing apparatus for manufacturing the said semiconductor device.
  • the pattern in forming a pattern in which the ratio of a large number of lines to the line spacing is approximately 1: 1, that is, a so-called 1: 1 line and space pattern, Using a substrate on which sacrificial films are stacked in this order from the bottom, the pattern is doubled twice. At this time, a mask pattern having a line width and a line spacing of 3: 5 is formed on the sacrificial film, and then sidewalls (deposits) having a width of 1/3 of the line width are formed on both side walls of the line. is doing. Therefore, by transferring the sidewall pattern onto the sacrificial film, a line pattern having a width and a spacing dimension of 1: 3 is formed.
  • the embodiment of the present invention is a technique effective for miniaturizing the pattern of a semiconductor device. It is.
  • a semiconductor wafer (hereinafter referred to as “wafer”) W which is a substrate to which the semiconductor device manufacturing method according to the first embodiment of the present invention is applied, will be described with reference to FIG. 3A.
  • a wafer W is made of, for example, a photoresist mask 24 that is an organic film containing silicon, an antireflection film (BARC) 23 that is an organic sacrificial film containing silicon, and a silicon nitride film that is a film to be etched.
  • SiN film 22 and silicon oxide film (hereinafter referred to as “SiO 2 film”) 21 have a laminated structure formed in this order from the top.
  • a first mask pattern 25 including a large number of lines 26 is formed on the photoresist mask 24 by photolithography using, for example, an ArF excimer laser as a light source.
  • a space portion between adjacent lines 26 and 26 is referred to as a groove 27.
  • the line 26 and the groove 27 are formed in parallel to each other so as to extend in a direction perpendicular to the paper surface of FIG. Further, the antireflection film 23 is exposed at the bottom of the groove 27.
  • the width M1 of the line 26 may be about 60 nm, and the opening width M2 of the groove 27 may be about 100 nm. Therefore, the ratio between the width M1 and the opening width M2 is 3: 5.
  • the film thickness H1 of the SiN film 22 may be, for example, 27 nm
  • the film thickness H2 of the antireflection film 23 may be, for example, 27 nm
  • the film thickness H3 of the photoresist mask 24 may be, for example, 27 nm.
  • SiH 4 (monosilane) gas is supplied as a processing gas to the wafer W having the above-described configuration, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C. to form a film by thermal CVD.
  • a temperature of 300 ° C. or lower, for example, 100 ° C. to form a film by thermal CVD.
  • an amorphous silicon film 31 is initially formed along the shape of the first mask pattern 25. If the film formation is continued, as shown in FIG. The film is formed so as to increase in width as it goes downward along the line. As a result, as shown in FIG.
  • the amorphous silicon film 31 includes a flat portion along the surface of the line 26, a curved portion corresponding to the corner of the line 26, and a flat portion along the surface of the antireflection film 23. It will have.
  • the film thicknesses of the two flat portions are substantially equal to each other.
  • the thickness of the side wall of the line 26 is substantially equal to the film thickness in the flat portion.
  • the opening width M3 of the recess 32 of the amorphous silicon film 31 and the length M4 between the inner wall of the recess 32 and the side wall of the line 26 (hereinafter referred to as the “side wall width of the amorphous silicon film 32”).
  • the ratio is 3: 1.
  • the thickness of the amorphous silicon film 32 (the thickness measured from the surface of the antireflection film 23 exposed at the bottom of the groove 27 and the thickness measured from the surface of the line 26) may be, for example, 20 nm.
  • O 2 (oxygen) gas and HBr (hydrogen bromide) gas are supplied as processing gases to the wafer W, these processing gases are turned into plasma, and the amorphous silicon film 31 is directed downward to be anisotropic. Etch. If this etching is continued until the surface layer of the photoresist mask 24 is exposed, as shown in FIG. 3C, a pair of amorphous silicon films 31 having a shape extending toward the lower end is formed on both side walls of one line 26 ( 33) deposits (sidewalls) 33a and 33b remain. In addition, the bottom surface of the groove 27 (the surface of the antireflection film 23) is exposed between the two adjacent sets 33, 33 by this etching.
  • O 2 (oxygen) gas and HBr (hydrogen bromide) gas are supplied as processing gases to the wafer W, these processing gases are turned into plasma, and the amorphous silicon film 31 is directed downward to be anisotropic. Etch. If this etching is continued until the surface layer of the photoresist
  • the width M6 of the deposit 33a (33b) is substantially equal to the side wall width M4 of the amorphous silicon film 31 described above. Become. Accordingly, the width M5 of the antireflection film 23 exposed between the sets 33 and 33 is also substantially equal to the width M3 described above, and the ratio of the width M5 and the width M6 of the deposit 33a (33b) is 3: 1. Become.
  • O 2 gas and Ar (argon) gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to etch the photoresist mask 24. Since the antireflection film 23 is similar in composition to the photoresist mask 24 as described above, it is removed together with the photoresist mask 24 using the deposits 33a and 33b as a mask (FIG. 3D). Then, as shown in FIG. 5A, the etching is continued until the antireflection film 23 between the deposits 33a and 33b is removed and the SiN film 22 is exposed.
  • Ar argon
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 33a and 33b formed from the amorphous silicon film 31 (FIG. 5B).
  • the pattern formed by the deposits 33a and 33b is transferred to the antireflection film 23, and the line-shaped antireflection film 23 remains on the SiN film 22 as a second mask pattern.
  • the number of patterns formed on the SiN film 22 by the above double pattern formation step is equal to the number of (line 26 and groove 27) of the first mask pattern 25 formed on the photoresist mask 24 shown in FIG. 3A. Twice as much. In other words, in FIG. 3A, there are one line 26 and one groove 27 in the width of M1 + M2, but in FIG. 5B there are two lines and two grooves in the same width.
  • a double pattern forming process is performed again.
  • SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., to form a film by thermal CVD or the like.
  • the surface of the SiN film 22 and the exposed surface of the antireflection film 23 are covered with the amorphous silicon film 35.
  • FIG. 1 shows that is covered with SiN film 22 and the exposed surface of the antireflection film 23.
  • the amorphous silicon film 35 has a film thickness in which the ratio of the opening width M7 of the recess 36 of the amorphous silicon film 35 to the sidewall width M8 of the amorphous silicon film 35 is 1: 1 ( (Until the opening width M7 and the sidewall width M8 of the amorphous silicon film 35 are equal).
  • the film thickness of the amorphous silicon film 35 after film formation is, for example, 20 nm.
  • the amorphous silicon film 35 is anisotropically etched downward. If this etching is continued until the surface layer of the line-shaped antireflection film 23 is exposed, a set 37 of deposits 37 a and 37 b made of an amorphous silicon film 35 is formed on both side walls of the antireflection film 23. Further, the SiN film 22 is exposed between the groups 37 and 37. As described above, the width M10 of the deposits 37a and 37b becomes substantially equal to the dimension M8 by anisotropic etching. Also, the dimension M9 between the sets 37 and 37 is substantially equal to the width M7 of the recess 36, so the ratio of the dimension M9 to the width M10 is 1: 1.
  • any one or a combination of two or more gases including fluorine such as CF 4 , CHF 3 , CH 2 F 2, and F 2 as a processing gas is supplied to the wafer W together with Ar gas and / or O 2 gas.
  • these processing gases are turned into plasma, and the SiN film 22 is anisotropically etched downwards until the SiO 2 film 21 is exposed using the deposits 37a and 37b of the amorphous silicon film 35 as a mask.
  • the pattern of the deposits 37a and 37b is transferred to the SiN film 22, and a pattern 30 including lines 28 and grooves 29 is formed on the SiN film 22 as shown in FIG. 6A.
  • the ratio of the width M12 of the deposit 37a (37b) and the width M11 between the deposits 37a and 37b is approximately 1: 1, these dimensions are transferred to the pattern 30.
  • the width M14 of the line 28 and the opening width M13 of the groove 29 are each 20 nm, and therefore the ratio of both is approximately 1: 1.
  • the number of the lines 28 and the grooves 29 formed in the pattern 30 is four times the number of the lines 26 and the grooves 27 of the first mask pattern 25 by the above double pattern forming process.
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 37a and 37b (FIG. 6B).
  • the multilayer described above is used.
  • a double pattern double pattern formation process
  • the first mask pattern 25 of the photoresist mask 24 is formed so that the ratio of the width M1 of the line 26 to the opening width M2 of the groove 27 is 3: 5, and the opening width M5 and the deposit 33a (33b) are formed.
  • the amorphous silicon film 31 is formed so that the ratio to the width M6 is 3: 1.
  • a line pattern having a width and a distance dimension of 1: 3 is formed. Further, sidewalls (deposits 37a and 37b) having the same width as the line are formed on both side walls of the pattern, and the sidewalls of the first mask pattern 25 are transferred to the SiN film 22.
  • a pattern 30 having a number four times the number of 26 (2 times ⁇ 2 times) can be formed. Therefore, a 1: 1 pattern 30 having a narrow line width can be obtained from the mask pattern 25 having a wide line width.
  • the pattern 30 can be formed with a line width smaller than the limit of the line width of the exposure apparatus. Can contribute.
  • a fine pattern 30 can be created using an exposure apparatus having a long wavelength, such as a KrF excimer laser, the manufacturing cost can be reduced.
  • the ratio of the width M1 to the opening width M2 is close to 1: 1, such as 3: 5 as described above.
  • the first mask pattern 25 can be manufactured more easily than when the pattern 30 is formed by a single double pattern formation process (the ratio of the width M1 to the opening width M2 is 1: 3).
  • the trimming process (shrink process) described in the section of the background art becomes unnecessary, the dimension of the pattern 30 can be set with high accuracy.
  • the wafer W having the conventional laminated structure in which the organic film such as the photoresist mask 24 and the antireflection film 23 is formed on the surface layer of the wafer W is also described.
  • the pattern forming method according to one embodiment is useful.
  • the amorphous silicon film 31 (35) is formed by thermal CVD at a low temperature of 300 ° C. or lower, for example, 100 ° C.
  • the film 31 (35) can be formed.
  • a method for forming the amorphous silicon film 31 (35) at such a low temperature in addition to the above-described thermal CVD, for example, in a batch type vertical heat treatment apparatus, plasma obtained by converting a processing gas into plasma is used. May be performed.
  • the ratio of the width M1 of the line 26 and the width M2 of the groove 27 of the first mask pattern 25 is designed to be 3: 5 as described above. For example, 3: 4.75 to 5.25 ( ⁇ 5%) may be used so as not to affect the manufacturing of the above.
  • the ratio of the opening width M5 to the width M6 and the ratio of the dimension M9 to the width M10 may be within the above error range ( ⁇ 5%).
  • the mask pattern dimensions and the thickness of the amorphous silicon film are set so as to fall within the same processing error according to the dimensions of the respective mask patterns.
  • the SiN film 22 has been described as an etching target film
  • the pattern 30 may be transferred to the SiO 2 film 21 as a lower layer film using the pattern 30 formed on the SiN film 22 as a mask.
  • the deposit 33a (33b) is formed on the antireflection film 23 in the first double pattern formation step (FIG. 5A).
  • This antireflection film 23 is an organic film. Therefore, the deposit 33a (33b) may fall down due to insufficient strength of the antireflection film 23. In this case, you may deform
  • an SiO 2 film 38 having a film thickness of 27 nm, for example, is interposed between the antireflection film 23 and the SiN film 22 shown in FIG. 3A.
  • O 2 gas and Ar gas are supplied as processing gases to the wafer W having the films 21, 22, 38, 23, and 24, and these processing gases are turned into plasma and reflected using the photoresist mask 24 as a mask.
  • the prevention film 23 is etched.
  • this plasma also etches the photoresist mask 24, so that the photoresist mask 24 remains slightly on the upper surface of the antireflection film 23 or the antireflection film 23 is exposed. Then, the double pattern formation process of FIGS.
  • the side wall widths (widths M3 and M4 of the deposits 33a (33b)) of the amorphous silicon film 31 are set to the same dimensions as those in the above embodiment.
  • the deposits 33a and 33b are formed on the SiO 2 film 38 as described above. Note that the etching process and the film forming process are the same as those in the above-described embodiment, and therefore will be omitted.
  • CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are supplied as processing gases to the wafer W, and these processing gases are turned into plasma, whereby the SiN film 22 is formed.
  • the SiO 2 film 38 is anisotropically etched downward until it is exposed (FIG. 8B).
  • the deposits 33a and 33b are removed (FIG. 8C), and the double pattern formation process of FIGS. 8D to 9D is performed.
  • the SiO 2 film 38 is etched (FIG. 9B)
  • CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are used as the processing gas as described above. It is done.
  • the side wall width (the widths M7 and M8 of the deposit 37a (37b)) of the amorphous silicon film 35 is set to the same dimension as that of the above embodiment.
  • the pattern 30 having the same dimensions (M13, M14) as the above embodiment is formed.
  • the deposit 33a (33b) is formed on the SiO 2 film 38 having a higher strength than the above-described antireflection film 23. Therefore, the deposit 33a ( 33b) is firmly fixed to the wafer W via the SiO 2 film 38, so that the dimensional error between the deposits 33a and 33b can be extremely reduced, and the dimensional accuracy of the pattern 30 can be increased.
  • the photoresist mask 24 as the uppermost film of the wafer W and the antireflection film 23 as the lower film are used (FIG. 3A).
  • a SiN film 40 and a SiO 2 film 39 made of an inorganic material may be used instead of these films 24 and 23, for example.
  • the same pattern 30 as in the first modification is formed, and the same effect can be obtained.
  • the amorphous silicon films 31 and 35 can be formed on the inorganic film, the deposits 33a (33b) and 37a with higher density and higher shape accuracy can be obtained by increasing the film formation temperature to, for example, about 200 ° C. (37b) can be formed.
  • the first mask pattern 25 In forming the first mask pattern 25 on the SiN film 40 in Modification 2 above, a resist film having the same pattern as the first mask pattern 25 is formed on the SiN film 40, and this resist film is used. Although the SiN film 40 may be etched, the first mask pattern 25 may be formed in the SiN film 40 as follows.
  • a wafer W having a SiN film 40, a SiO 2 film 39, a SiN film 22, and a SiO 2 film 21 in this order from the top is prepared.
  • a resist film is formed on the uppermost SiN film 40, and a third mask pattern 44 including lines 42 and grooves 43 is formed from the resist film by, for example, photolithography.
  • the width N1 of the line 42 may be 100 nm, for example, and the opening width N2 of the groove 43 may be 220 nm, for example. Therefore, the ratio between the width N1 and the opening width N2 is 5:11.
  • the film thickness of the resist mask 41 is 27 nm.
  • SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., and film formation is performed by thermal CVD, thereby forming an amorphous silicon film 45.
  • the ratio of the opening width N3 of the recess 46 in the amorphous silicon film 45 to the length N4 between the inner wall of the recess 46 and the side wall of the line 42 (side wall width N4 of the amorphous silicon film 45) is 5: 3.
  • the amorphous silicon film 45 is formed until this is reached (FIG. 11B).
  • the film thickness of the amorphous silicon film 45 after film formation may be, for example, 60 nm.
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the amorphous silicon film 45 is directed downward until the surface of the photoresist mask 24 is exposed. Isotropic etching. By this etching, as shown in FIG. 11C, a pair of deposits 47 (47a, 47b) made of the amorphous silicon film 45 is formed on both side walls of the line 42, and between two adjacent sets of deposits 47, 47. Thus, the SiN film 40 is exposed.
  • the width N6 of the deposit 47a (47b) is substantially equal to the side wall width N4 of the amorphous film 45, and the width N5 of the two adjacent sets of deposits 47 and 47 is equal to the opening width N3 of the recess 46 of the amorphous film 45. Almost equal.
  • the ratio between the width N5 and the width N6 of the deposit 47a (47b) is 5: 3.
  • O 2 gas and Ar gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the resist mask 41 (FIG. 12A).
  • the SiN film 40 is exposed between the deposits 47a and 47b.
  • CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas and F 2 gas are used as the processing gas, and these processing gases are turned into plasma to mask the deposits 47a and 47b.
  • the SiN film 40 is etched until the SiO 2 film 39 is exposed.
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 47a and 47b made of the amorphous silicon film 45 (FIG. 12C).
  • the first mask pattern 25 including the line 26 and the groove 27 shown in FIG. 10 (FIG. 12C) described above is formed in the SiN film 40, and the width M1 of the line 26 is about Since the opening width M2 of the groove 27 is about 100 nm, the ratio of the width M1 to the opening width M2 is 3: 5.
  • the number of patterns (lines 26 and grooves 27) formed in the first mask pattern 25 is twice the number of patterns (lines 42 and grooves 43) formed in the third mask pattern 44. .
  • the pattern 30 is formed on the SiN film 22 by repeating the double pattern forming process twice as described above on the wafer W (see FIG. 9C). Accordingly, the number of lines 28 and grooves 29 formed in the pattern 30 is eight times the number of lines 42 and grooves 43 of the third mask pattern 44.
  • the ratio of the width N1 of the line 42 to the opening width N2 of the groove 43 is 5:11.
  • the third mask pattern 44 of the resist mask 41 is formed so that the ratio of the opening width N5 and the width N6 of the deposit 47a (47b) is 5: 3. is doing. Therefore, the number of lines 28 and grooves 29 in the pattern 30 is increased to eight times the number of lines 42 and grooves 43 in the third mask pattern 44 by performing the double pattern forming process three times on the wafer W. Therefore, the pattern 30 having extremely fine dimensions can be formed.
  • the wafer W shown in FIG. 3A may be prepared, and the double pattern forming process of the second embodiment may be performed.
  • an inorganic film such as a SiN film is used, and a photoresist mask having the third mask pattern 44 is formed on the inorganic film.
  • the film may be etched to form a mask having the third mask pattern 44.
  • the SiO 2 film 38 (FIG. 7A) may be interposed between the SiN film 22 and the antireflection film 23. According to this, the deposit 33 can be formed on the SiO 2 film 38.
  • the pattern may be directly formed on the resist mask 41 by photolithography as described above. May be.
  • a wafer W having an (as-coated) resist mask 41, a SiN film 40, a SiO 2 film 39, a SiN film 22, and a SiO 2 film 21 in this order from the top is prepared.
  • a resist mask 41 which is an inorganic film made of SiN, for example, is formed.
  • the resist mask 51 has a fourth mask pattern 54 including lines 52 and grooves 53.
  • a SiN film is formed on the resist mask 41, and the SiN film is etched using a photoresist mask having a fourth mask pattern 54 formed on the SiN film. Is formed.
  • the width P1 of the line 52 may be about 220 nm, and the opening width P2 of the groove 53 may be about 420 nm. Therefore, the ratio between the width N1 and the opening width N2 is 11:21.
  • the film thickness of the resist mask 51 is 27 nm.
  • SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., and a film formation process by thermal CVD is performed to form an amorphous silicon film 55. .
  • the ratio of the opening width P3 of the recess 56 of the amorphous silicon film 55 to the side wall width P4 of the amorphous silicon film 55 is 11: 5.
  • the amorphous silicon film 55 is formed until this is reached (FIG. 13B).
  • the film thickness of the amorphous silicon film 55 after film formation may be 100 nm, for example.
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the amorphous silicon film 55 is anisotropically directed downward until the surface of the resist mask 51 is exposed.
  • Etching By this etching, as shown in FIG. 13C, a set of deposits 57 (57a, 57b) is formed on both side walls of the line 52, and the lower resist mask 41 is formed between the two adjacent sets 57, 57. Exposed.
  • the width P6 of the deposit 57a (57b) is substantially equal to the sidewall width P4 of the amorphous silicon film 55, and the opening width P5 is substantially equal to the opening width P3 of the recess 56 of the amorphous silicon film 55. That is, the ratio between the opening width P5 and the width P6 of the deposit 57a (57b) is 11: 5.
  • CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are supplied to the wafer W as processing gases. Then, these processing gases are turned into plasma, and the resist mask 51 is removed (FIG. 14A).
  • O 2 gas and Ar gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the resist mask 41 is etched using the deposits 57a and 57b as a mask (FIG. 14B). By this etching, the SiN film 40 is exposed between the deposits 57a and 57b.
  • O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 57a and 57b formed from the amorphous silicon film 55 (FIG. 14C).
  • the resist mask 41 is formed with the third mask pattern 44 including the line 42 and the groove 43 shown in FIG. 11 described above, and the width N1 of the line 42 is about 100 nm. Since the opening width N2 of 43 is 220 nm, the ratio of the width N1 to the opening width N2 is 5:11. Further, the number of patterns of the third mask pattern 44 is twice the number of patterns formed on the resist mask 51.
  • a pattern 30 is formed on the SiN film 22 by performing the double pattern forming process three times on the wafer W as described above.
  • the number of lines 28 and grooves 29 formed in the pattern 30 is 16 times the number of lines 52 and grooves 53 of the fourth mask pattern 54.
  • the ratio of the width P1 of the line 52 to the opening width P2 of the groove 53 is 11:21.
  • the fourth mask pattern 54 of the resist mask 51 is formed, and the amorphous silicon film 55 is formed so that the ratio of the opening width P5 to the width P6 of the deposit 57a (57b) is 11: 5. . Therefore, the number of lines 28 and grooves 29 in the pattern 30 is increased to 16 times the number of lines 52 and grooves 53 in the fourth mask pattern 54 by performing the double pattern forming process four times on the wafer W. Therefore, the pattern 30 having extremely fine dimensions can be formed.
  • the resist mask 41 may be formed of an inorganic film.
  • the number of the patterns 30 is formed on the surface layer of the wafer W by increasing the number of laminated films of the wafer W and performing the double pattern forming process twice, three times, and four times.
  • the number of patterns (25, 44, 54) can be increased to 4 (22) times, 8 (23) times, and 16 (24) times.
  • the double pattern forming process is further performed 5 times, 6 times,..., (N ⁇ 1) times, and n (n: a positive number of 5 or more) times, thereby reducing the number of patterns 30 on the wafer W.
  • the number of surface layer patterns can be increased to 32 (25) times, 64 (26) times, 2n-1 times, and 2n times. Therefore, in repeating the double pattern formation process in this way, the dimension of the line 62 (26, 42, 52) of the nth mask pattern 61 (25, 44, 54) formed on the resist mask 60 on the surface layer of the wafer W and A method for setting the dimensions of the grooves 63 (27, 43, 53) will be described with reference to FIGS.
  • FIG. 15 shows the SiN film 22 to be etched and the pattern 30 to be formed on the SiN film 22 at the uppermost stage, and the double pattern formation process required to form the pattern 30 on the SiN film 22 at the lower stage. It shows that there are many times.
  • Each stage schematically shows the first resist mask 60 formed on the surface layer of the wafer W corresponding to the number of double pattern forming steps. In this case, although not shown, when the double pattern forming step is repeated n times, (n + 1) layers of films are stacked on the SiN film 22.
  • the width of the line 62 shown in the second stage from the top is almost equal to the opening width of the uppermost groove 29, and the width of the groove 63 shown in the second stage from the upper side is (the uppermost line 28). It can be seen that the width x 2 + the opening width of the uppermost groove 29 is substantially equal. It can also be seen that the width of the deposit formed on the side wall of the second line 62 from the top is substantially equal to the width of the uppermost line 28. Therefore, by sequentially performing such calculation, the size of the mask pattern 61 and the size of the deposit required when the pattern 30 is multiplied by 2n by repeating the double pattern forming process n times are calculated.
  • the film that finally forms the pattern 30 on the wafer W may be an inorganic film such as a SiO 2 film.
  • the ratio of groove 27 / line 26 when the pattern 30 is quadrupled is 0.6, which is the closest to 1.0 (FIG. 16). It can be seen that the mask pattern 61 (24) can be easily formed by photolithography.
  • the dimension of the pattern 30 formed on the SiN film 22 is constant, and the mask pattern 61 (25, 44) on the surface of the wafer W is increased each time the number of double pattern forming steps is increased. , 54) has been described so that the dimension of the line 62 (26, 42, 52) and the dimension of the groove 63 (27, 43, 53) are maintained at the above-described ratio,
  • the density of the lines and grooves of the mask pattern 61 to a density that can be easily formed by a KrF excimer laser or an ArF excimer laser, the dimensions are extremely small, exceeding the exposure limit in an exposure apparatus using these lasers.
  • the pattern 30 can be formed on the SiN film 22.
  • This semiconductor manufacturing apparatus includes a first transfer chamber 81, which is a loader module including a first substrate transfer means 81a, load lock chambers 82 and 82, and a vacuum transfer chamber module including a second substrate transfer means 83a. And a second transfer chamber 83.
  • a first transfer chamber 81 which is a loader module including a first substrate transfer means 81a, load lock chambers 82 and 82, and a vacuum transfer chamber module including a second substrate transfer means 83a.
  • a second transfer chamber 83 On the front side of the first transfer chamber 81, load ports 85 for mounting a sealed carrier C in which a plurality of wafers W are housed are provided in a plurality of places, for example, three places.
  • An alignment chamber 86 for adjusting the orientation and eccentricity of the wafer W is connected to the side surface of the first transfer chamber 81.
  • film forming modules 87 and 87 for performing film forming processing by thermal CVD and etching modules 88 and 88 for performing plasma etching processing are airtightly connected.
  • the film forming module 87 has a mounting table on which the wafer W is mounted, a heating unit for heating the wafer W to, for example, 300 ° C. or less, and the amorphous silicon film described above is formed in the film forming module 87.
  • a supply unit for supplying a processing gas such as SiH 4 gas and an evacuation unit (both not shown) are provided.
  • the etching module 88 is, for example, a parallel plate type plasma etching apparatus, a mounting table on which the wafer W is mounted, and an upper electrode that also serves as a gas shower head provided so as to face the mounting table.
  • An etching module comprising a supply unit for supplying the processing gas for etching described above to the wafer W via the gas shower head, a vacuum exhaust unit, and a high-frequency supply source (none of which is shown) for converting the processing gas into plasma.
  • the plasma etching described above is performed by supplying a processing gas from the gas shower head 88 to the inside 88 and applying a high frequency between the mounting table and the upper electrode to convert the processing gas into plasma.
  • G is a gate valve
  • GT is a gate door.
  • This semiconductor manufacturing apparatus is provided with a control unit 80A which is a control means composed of, for example, a computer.
  • the control unit 80A includes a program, a CPU, and a memory (not shown).
  • the control unit 80A sends a control signal from the control unit 80A to each unit of the semiconductor manufacturing apparatus, and commands (steps) to advance wafer transfer and processing. ) Is incorporated.
  • the memory includes an area in which values of processing parameters such as processing pressure, processing temperature, processing time, gas flow rate or power value of each module are written, and when the CPU executes each instruction of the program, The processing parameter is read out, and a control signal corresponding to the parameter value is sent to each part of the semiconductor manufacturing apparatus 80.
  • This program (including programs related to processing parameter input operations and display) is stored in the storage unit 80B, which is a computer storage medium such as a flexible disk, compact disk, hard disk, or MO (magneto-optical disk), and is stored in the control unit 80A. Installed.
  • the carrier C is placed on the load port 85, and the wafer W in the carrier C is transferred to the load lock chamber 82 via the first transfer chamber 81 by the first substrate transfer means 81a. Then, the wafer W is loaded into the second transfer chamber 83 via the load lock chamber 82 by the second substrate transfer means 83a. Then, the wafers W are sequentially transferred to the film forming module 87 and the etching module 88 through the second transfer chamber 83 in accordance with the above-described processing flow. In the film forming module 87, each amorphous silicon according to the above-described embodiment is transferred. A film forming process is performed, and each etching process is performed in the etching module 88. After completion of each process, the wafer W is returned to the carrier C in the reverse order of the carried-in order.

Abstract

A resist mask, which is formed on a substrate and has a pattern composed of a line and a groove, is subjected to a double pattern formation step, and a pattern composed of a line and a groove is formed on a lower film. The double pattern formation step is composed of formation of a thin film, formation of a deposition material on the both side walls of the line by anisotropically etching the thin film, line removal, and etching of the lower film of the deposited material by using the deposited material as a mask. Then, the deposited material is removed, and furthermore, the double pattern formation step is performed. The ratio of the width of the initial line to the opening width of the groove is set at 3:5, and the thin film is formed so that the ratio of the width of the thin film opening that corresponds to the groove to the thin film side wall width of a side wall portion covering the side wall of the line is 3:1 after the double pattern formation step of a first time, and 1:1 after that of a second time.

Description

パターン形成方法、半導体製造装置及び記憶媒体Pattern forming method, semiconductor manufacturing apparatus, and storage medium
 本発明は、パターン形成方法、半導体製造装置、及びこの半導体装置に該パターン方法を実行させるコンピュータプログラムを格納した記憶媒体に関する。 The present invention relates to a pattern forming method, a semiconductor manufacturing apparatus, and a storage medium storing a computer program that causes the semiconductor apparatus to execute the pattern method.
 一般に半導体装置の製造工程においては、フォトリソグラフィ技術を利用して、多層化された微細な配線構造が被処理基板である半導体ウェハ(以下、ウェハという。)上に形成されている。フォトリソグラフィでは、ウェハ上の例えば絶縁膜などの被エッチング膜の上層に例えば感光性の樹脂からなるレジスト膜を塗布し、露光し、現像することにより、このレジスト膜をパターニングして上述の配線構造に対応するパターンを有するマスクを形成し、次いでこのマスクを介して被エッチング膜をエッチングすることにより配線構造を形成している。そのため、露光工程に用いられる露光装置の解像度が高くなるほど、つまり露光装置の光源である例えばレーザ光の波長が短くなるほど、高いパターン密度を有するマスクを得ることができ、微細な配線構造を形成できる。 In general, in the manufacturing process of a semiconductor device, a multilayered fine wiring structure is formed on a semiconductor wafer (hereinafter referred to as a wafer) that is a substrate to be processed by using a photolithography technique. In photolithography, a resist film made of, for example, a photosensitive resin is applied to an upper layer of a film to be etched such as an insulating film on a wafer, and the resist film is patterned by exposing and developing the resist film. A wiring structure is formed by forming a mask having a pattern corresponding to, and then etching the film to be etched through this mask. Therefore, the higher the resolution of the exposure apparatus used in the exposure process, that is, the shorter the wavelength of the laser light that is the light source of the exposure apparatus, the higher the mask density can be obtained, and the fine wiring structure can be formed. .
 このため、130nm程度の線幅で露光を行うKrFエキシマレーザを備えた従来の露光装置に替えて、70nm程度の線幅のパターンを形成できるArFエキシマレーザを備えた露光装置が用いられるようになっている。また、ウェハ表面に液膜を形成し、この液膜を通してArFエキシマレーザをウェハに照射することで、更に短波長化したArFエキシマレーザにより露光を行う液浸露光と呼ばれる手法を用いて、40~50nm程度の線幅でパターンを形成する技術が開発されている。 For this reason, an exposure apparatus equipped with an ArF excimer laser capable of forming a pattern with a line width of about 70 nm is used instead of a conventional exposure apparatus equipped with a KrF excimer laser that performs exposure with a line width of about 130 nm. ing. Further, by forming a liquid film on the wafer surface and irradiating the wafer with an ArF excimer laser through the liquid film, a technique called immersion exposure is used in which exposure is performed with an ArF excimer laser having a shorter wavelength. A technique for forming a pattern with a line width of about 50 nm has been developed.
 ところで、今後は配線の微細化の要求が更に進み、30nm程度ないしは20nm程度の線幅で露光することが求められると考えられており、そのためには更に波長の短い光源を備えた露光装置が必要になると予想される。しかし、一般に露光装置は高価であり、要求される配線の線幅が細くなる度に露光装置を変えると投資がかさむという問題がある。 By the way, it is considered that the demand for finer wiring will further increase in the future, and it is considered that exposure is required with a line width of about 30 nm to about 20 nm. For this purpose, an exposure apparatus equipped with a light source with a shorter wavelength is required. It is expected to become. However, in general, the exposure apparatus is expensive, and there is a problem that the investment is increased if the exposure apparatus is changed every time the line width of the required wiring is reduced.
 そこで、ダブルパターニングと呼ばれる手法を用いて配線構造を形成する技術が検討されている。 Therefore, a technique for forming a wiring structure using a technique called double patterning has been studied.
 このようなダブルパターニングが適用される配線構造の一例として、NAND型フラッシュメモリの回路構造について説明する。図1Aは、その回路構造を示す上面図であり、図1Bは図1AにおけるA-A線に沿った断面図である。図1Aおよび図1Bにおいて、101は、エッチング処理によりウェハ100表面に直線状に複数形成されたワード線であり、上側から見ると互いに平行に形成されている。ワード線101は、図1Bに示すように、例えば酸化シリコン膜105、ポリシリコン膜106、ONO膜107、ポリシリコン膜108が下からこの順に積層された積層構造を有している。 A circuit structure of a NAND flash memory will be described as an example of a wiring structure to which such double patterning is applied. 1A is a top view showing the circuit structure, and FIG. 1B is a cross-sectional view taken along line AA in FIG. 1A. In FIG. 1A and FIG. 1B, reference numeral 101 denotes a plurality of word lines formed in a straight line on the surface of the wafer 100 by an etching process, which are formed in parallel to each other when viewed from above. As shown in FIG. 1B, the word line 101 has a stacked structure in which, for example, a silicon oxide film 105, a polysilicon film 106, an ONO film 107, and a polysilicon film 108 are stacked in this order from the bottom.
 またウェハ100表面には、ワード線101間を跨いでワード線101と直交するように、導体である複数のシリコン膜102が配列されている。これらシリコン膜102は、アクティブと呼ばれる互いに並行する電気が流れる複数のライン102Aを形成している。そして、シリコン膜102の配列方向とワード線101との交差部分109は、2つのシリコン膜102、これらの2つのシリコン膜102を橋渡しする酸化シリコン膜105、および酸化シリコン膜105上のポリシリコン膜106から構成されるトランジスタと、ポリシリコン膜106、ONO膜107、およびポリシリコン膜108から構成されるキャパシタとからなるメモリセルとして機能する。 A plurality of silicon films 102 as conductors are arranged on the surface of the wafer 100 so as to cross the word lines 101 and to be orthogonal to the word lines 101. These silicon films 102 form a plurality of lines 102 </ b> A called “active” through which parallel electricity flows. An intersection 109 between the arrangement direction of the silicon film 102 and the word line 101 includes two silicon films 102, a silicon oxide film 105 that bridges the two silicon films 102, and a polysilicon film on the silicon oxide film 105. It functions as a memory cell composed of a transistor composed of the transistor 106 and a capacitor composed of the polysilicon film 106, the ONO film 107, and the polysilicon film 108.
 ここで、ワード線101の幅をL1とし、隣り合うワード線101間における溝101Aの幅をL2とすると、L1に対してL2が大きすぎる場合は、ONO膜107に電荷が十分に蓄積されないおそれがある。また、L2に対してL1が大きすぎる場合は、ワード線101間において、一の酸化シリコン膜106とその隣の酸化シリコン膜106との間、及び一のポリシリコン膜108とその隣のポリシリコン膜108との間の寄生容量が大きくなる。この場合、これら酸化シリコン膜106,106間及びポリシリコン膜108,108間において、電荷が蓄積されてしまったり、電気が流れてしまったりすることがあり、デバイスとしての機能が果たせなくなるおそれがある。そこで、概ねL1:L2=1:1となるようにワード線101と溝101Aとを形成する必要がある。また、シリコン膜102によるライン102Aの幅をL3とし、隣り合う前記ライン102Aの間隔をL4とすると、デバイスの機能を担保するためにこれらL3及びL4がL1及びL2と略同じ大きさとなるようにライン102Aが形成される。 Here, assuming that the width of the word line 101 is L1 and the width of the groove 101A between the adjacent word lines 101 is L2, if L2 is too large with respect to L1, there is a possibility that charges are not sufficiently accumulated in the ONO film 107. There is. If L1 is too large relative to L2, between the word lines 101, between the one silicon oxide film 106 and the adjacent silicon oxide film 106, and between the one polysilicon film 108 and the adjacent polysilicon film. The parasitic capacitance between the film 108 is increased. In this case, charges may be accumulated or electricity may flow between the silicon oxide films 106 and 106 and between the polysilicon films 108 and 108, and the device function may not be performed. . Therefore, it is necessary to form the word line 101 and the groove 101A so that L1: L2 = 1: 1. Further, when the width of the line 102A by the silicon film 102 is L3 and the interval between the adjacent lines 102A is L4, the L3 and L4 are approximately the same size as L1 and L2 in order to secure the function of the device. A line 102A is formed.
 このNAND型フラッシュメモリにおいては、ワード線101とアクティブのライン102Aとを高密度に形成するほどメモリセルの機能を有する交差部分109の高集積化を図ることができ、それによって記憶量の増加を図ることができる。そこで、L1、L2、L3、L4が夫々小さくなるように、既述のダブルパターニングを利用したパターニング方法が検討されている。具体的には、ポリシリコン膜108の表面にSiNなどの無機膜と、この無機膜上にパターニングされたレジストマスクとを形成する。次いで、このレジストマスクを介して無機膜をエッチングすることによりマスクを形成し、続いてそのマスクのパターンの側壁の両側にサイドウォールと呼ばれる堆積物を形成する。そして、無機膜を除去してこの堆積物をマスクとしてポリシリコン膜108をエッチングすると、無機膜に形成された1つのパターンから2つのパターンがポリシリコン膜108に形成される。この手法によれば、レジストマスクにおけるパターンの線幅の略半分の線幅を持ったパターンをその略2倍の密度でポリシリコン膜108に形成することができる。 In this NAND type flash memory, the higher the density of the word lines 101 and the active lines 102A, the higher the integration of the intersecting portion 109 having the memory cell function, thereby increasing the amount of storage. Can be planned. Therefore, a patterning method using the above-described double patterning has been studied so that L1, L2, L3, and L4 become smaller. Specifically, an inorganic film such as SiN and a resist mask patterned on the inorganic film are formed on the surface of the polysilicon film 108. Next, the inorganic film is etched through this resist mask to form a mask, and subsequently, deposits called sidewalls are formed on both sides of the sidewall of the mask pattern. Then, when the inorganic film is removed and the polysilicon film 108 is etched using the deposit as a mask, two patterns are formed on the polysilicon film 108 from one pattern formed on the inorganic film. According to this method, a pattern having a line width approximately half the line width of the pattern in the resist mask can be formed on the polysilicon film 108 at a density approximately twice that of the pattern.
 ところで、露光装置において形成されるレジストパターンは、通常はマスク部分(ライン)の線幅と溝の幅とが概ね1対1になる。そのため、レジストマスクの下層の無機膜においても、このレジストパターンが転写されるので、マスク部分の線幅と溝の幅とが概ね1対1になる。そこで、上述のように最終的にポリシリコン膜108に形成されるパターンの幅(L1、L2(L3、L4))が概ね同じ幅となるように、つまり既述の堆積物からなるパターンのマスク部分の線幅と溝幅とが同程度となるように、図2Aに示すように無機膜110にライン111と溝とをパターニングした後、エッチングによりライン111の幅を狭めるトリミングやシュリンクと呼ばれる処理を行うようにしている。 Incidentally, in the resist pattern formed in the exposure apparatus, the line width of the mask portion (line) and the width of the groove are generally 1: 1. Therefore, since the resist pattern is also transferred in the inorganic film below the resist mask, the line width of the mask portion and the width of the groove are approximately 1: 1. Therefore, as described above, the pattern width (L1, L2 (L3, L4)) finally formed on the polysilicon film 108 is substantially the same width, that is, the pattern mask made of the above-described deposit. A process called trimming or shrinking that narrows the width of the line 111 by etching after patterning the line 111 and the groove on the inorganic film 110 as shown in FIG. 2A so that the line width and the groove width of the portion are about the same. Like to do.
 しかし、このトリミングを行った場合、ライン111の側壁を垂直に制御することが難しく、図2Bに示すように、ライン111の幅が上端に向かって狭くなってしまうことがある。そのため、図2Cのように、この側壁の形状に合わせてサイドウォールである堆積物112が形成されてしまう。このような形状の堆積物112が形成されると、ポリシリコン膜108をエッチングしたときに所望の幅および間隔を有する配線構造が得られなくなってしまうおそれがある。 However, when this trimming is performed, it is difficult to control the side wall of the line 111 vertically, and the width of the line 111 may become narrower toward the upper end as shown in FIG. 2B. Therefore, as shown in FIG. 2C, a deposit 112 that is a sidewall is formed in accordance with the shape of the sidewall. If the deposit 112 having such a shape is formed, a wiring structure having a desired width and interval may not be obtained when the polysilicon film 108 is etched.
 また、ダブルパターニングを用いても、既述の露光装置を用いてレジストパターンの露光を行っている場合には、ポリシリコン膜108に形成されるパターンの線幅は30nm程度が限界と考えられており、従って配線の微細化の要請がさらに進み、例えば10nm程度の配線を形成する場合には対応できないと考えられている。 Even when double patterning is used, when the resist pattern is exposed using the above-described exposure apparatus, the limit of the line width of the pattern formed on the polysilicon film 108 is considered to be about 30 nm. Therefore, there is a further demand for miniaturization of wiring, and it is considered that it is not possible to cope with, for example, forming a wiring of about 10 nm.
 そこで、このようなダブルパターニングを2回繰り返すことにより、微細なパターンを形成する方法が検討されている。この方法は、予め無機膜110とポリシリコン膜108との間に更に例えばSiOなどからなる無機系の膜を介在させておき、既述の堆積物112を形成した後、無機膜110をエッチングにより除去して、堆積物112をマスクとして上記の無機系の膜のエッチングを行ってパターンを形成し、次いで堆積物112を除去してパターンが形成された無機系の膜に対して再度トリミングと堆積物の形成とを繰り返すことによって当該無機系の膜の下層のポリシリコン膜108に微細なパターン(レジストマスクの線幅の1/4のパターン)を形成する方法である。しかし、このようにダブルパターニングを2回繰り返す場合には、1度目のトリミングに極めて高い精度が必要となるし、また上記のように堆積物112の形状が下層側のパターンの形状に大きな影響を及ぼすことからも、このような方法による微細なパターンの形成は困難である。 Thus, a method of forming a fine pattern by repeating such double patterning twice has been studied. In this method, an inorganic film made of, for example, SiO 2 is interposed between the inorganic film 110 and the polysilicon film 108 in advance, and the deposit 112 described above is formed, and then the inorganic film 110 is etched. Then, the inorganic film is etched using the deposit 112 as a mask to form a pattern, and then the deposit 112 is removed and the inorganic film on which the pattern is formed is trimmed again. This is a method for forming a fine pattern (a pattern of 1/4 of the line width of the resist mask) in the polysilicon film 108 under the inorganic film by repeating the formation of the deposit. However, when double patterning is repeated twice in this way, extremely high accuracy is required for the first trimming, and the shape of the deposit 112 greatly affects the shape of the pattern on the lower layer side as described above. Therefore, it is difficult to form a fine pattern by such a method.
 尚、特許文献1にはこのダブルパターニングを利用した半導体装置の製造方法について記載されているが、このような問題を解決できるものではない。 In addition, although patent document 1 describes the manufacturing method of the semiconductor device using this double patterning, such a problem cannot be solved.
 また、レジスト膜の第1のレジストパターンに沿ってレジスト膜の下層の犠牲膜にパターンを形成した後、レジスト膜を除去し、さらに第1のレジストパターンとずれるように新たな第2のレジストパターンを備えたレジスト膜を形成し、第2のレジストパターンに沿ってさらに犠牲膜にパターンを形成することで犠牲膜の下層の被エッチング膜に密なパターンを形成することも知られているが、そのようにパターンの形成を行うためには基板の位置合わせが難しいという問題がある。
特開2006-261307(図3~図5) 本発明は、このような事情に基づいてなされたものであり、基板上の膜にプラズマエッチングにより平行なライン状のパターンを形成するパターン形成方法において、前記パターンの微細化を図ることができるパターン形成方法、半導体製造装置及び記憶媒体を提供することである。
Further, after forming a pattern on the sacrificial film below the resist film along the first resist pattern of the resist film, the resist film is removed, and a new second resist pattern is formed so as to be shifted from the first resist pattern. It is also known that a dense pattern is formed in the etching target film under the sacrificial film by forming a resist film with a pattern on the sacrificial film along the second resist pattern. In order to form such a pattern, there is a problem that it is difficult to align the substrate.
JP, 2006-261307, A (FIGS. 3 to 5) The present invention has been made based on such circumstances, and in a pattern formation method for forming parallel line patterns on a film on a substrate by plasma etching. Another object of the present invention is to provide a pattern forming method, a semiconductor manufacturing apparatus and a storage medium capable of miniaturizing the pattern.
 本発明の第1の態様は、基板上の膜にプラズマエッチングにより多数の平行なラインからなるパターンを形成するパターン形成方法を提供する。このパターン形成方法は、
 下段側から被エッチング膜及び犠牲膜が積層された基板を用いて、前記犠牲膜の上に多数のラインからなる第1のマスクパターンを前記ラインの幅と前記ラインの間隔寸法との比が3:5となるように形成する工程と、
 前記第1のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記犠牲膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第1のマスクパターンのラインの幅の1/3の幅となる前記薄膜からなる堆積物を形成する工程と、
 前記ラインを除去して前記堆積物を残し、当該堆積物をマスクとして前記犠牲膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該犠牲膜に多数のラインからなる第2のマスクパターンを形成する工程と、
 前記第2のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記被エッチング膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第2のマスクパターンのラインの幅と同じ幅となる前記薄膜からなる堆積物を形成する工程と、
 前記第2のマスクパターンにおけるラインを除去して前記薄膜を残し、当該堆積物をマスクとして前記被エッチング膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該被エッチング膜に多数のラインからなるパターンを形成する工程と、を含む。
The first aspect of the present invention provides a pattern forming method for forming a pattern consisting of a number of parallel lines on a film on a substrate by plasma etching. This pattern forming method
Using a substrate in which a film to be etched and a sacrificial film are stacked from the lower side, a first mask pattern consisting of a large number of lines is formed on the sacrificial film so that the ratio of the line width to the line spacing dimension is 3 : A step of forming to be 5,
After forming a thin film on the surface of the first mask pattern, anisotropic etching of the thin film is performed until the sacrificial film is exposed by plasma, and the lines of the first mask pattern are formed on both side walls of the line. Forming a deposit made of the thin film having a width of 1/3 of the width of
The line is removed to leave the deposit, the sacrificial film is etched by plasma using the deposit as a mask, and further the deposit is removed, whereby a second mask having a large number of lines in the sacrificial film is obtained. Forming a pattern;
After forming a thin film on the surface of the second mask pattern, anisotropic etching of the thin film is performed until the film to be etched is exposed by plasma, and the second mask pattern is formed on both side walls of the line. Forming a deposit comprising the thin film having the same width as the line;
Lines in the second mask pattern are removed to leave the thin film, and the film to be etched is etched with plasma using the deposit as a mask, and further, the deposit is removed. Forming a pattern of lines.
 本発明の第2の態様は、第1の態様のパターン形成方法であって、前記第1のマスクパターンは有機物を含むフォトレジストマスクにより形成され、前記犠牲膜は有機物を含む反射防止膜であるパターン形成方法を提供する。 A second aspect of the present invention is the pattern forming method according to the first aspect, wherein the first mask pattern is formed by a photoresist mask containing an organic substance, and the sacrificial film is an antireflection film containing an organic substance. A pattern forming method is provided.
 本発明の第3の態様は、基板を収納したキャリアが載置され、このキャリア内の基板のロード、アンロードが行われるローダモジュールと、
 このローダモジュールを介して基板が搬入される真空搬送室モジュールと、
 前記真空搬送室モジュールを介して搬入される基板に成膜処理を行う成膜モジュールと、
 前記真空搬送室モジュールを介して搬入される基板にエッチング処理を行うエッチングモジュールと、
 前記搬送室、ローダモジュール、成膜モジュール及びエッチングモジュール間で基板を搬送する基板搬送手段と、
 第1又は第2の態様のパターン形成方法を実施するように前記基板搬送手段の動作を制御する制御手段と、を備えた半導体製造装置を提供する。
A third aspect of the present invention is a loader module in which a carrier containing a substrate is placed, and a substrate in the carrier is loaded and unloaded;
A vacuum transfer chamber module into which the substrate is transferred via the loader module;
A film forming module for performing a film forming process on a substrate carried in via the vacuum transfer chamber module;
An etching module for performing an etching process on a substrate carried in via the vacuum transfer chamber module;
Substrate transfer means for transferring a substrate between the transfer chamber, the loader module, the film forming module and the etching module;
There is provided a semiconductor manufacturing apparatus comprising: a control unit that controls an operation of the substrate transfer unit so as to perform the pattern forming method according to the first or second aspect.
 本発明の第4の態様は、コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
 前記コンピュータプログラムは、第1又は第2の態様のパターン形成方法を実施するようにステップ群が組まれている記憶媒体を提供する。
A fourth aspect of the present invention is a storage medium storing a computer program that runs on a computer,
The computer program provides a storage medium in which steps are combined so as to implement the pattern forming method of the first or second aspect.
 本発明の実施形態によれば、基板上の膜にプラズマエッチングにより平行なライン状のパターンを形成するパターン形成方法において、前記パターンの微細化を図ることができるパターン形成方法、半導体製造装置及び記憶媒体が提供される。 According to an embodiment of the present invention, in a pattern forming method for forming parallel line-shaped patterns on a film on a substrate by plasma etching, the pattern forming method, a semiconductor manufacturing apparatus, and a memory capable of miniaturizing the pattern A medium is provided.
半導体装置の一例であるNAND型フラッシュメモリを示した上面図である。1 is a top view showing a NAND flash memory which is an example of a semiconductor device. 図1Aに示されるNAND型フラッシュメモリを示した断面図である。1B is a cross-sectional view showing the NAND flash memory shown in FIG. 1A. FIG. 半導体基板上に形成されたマスクの一例を示す断面図である。It is sectional drawing which shows an example of the mask formed on the semiconductor substrate. トリミングされた、図2Aに示されるマスクの一例を示す断面図である。FIG. 2B is a cross-sectional view illustrating an example of the trimmed mask shown in FIG. 2A. 図2Cに示されるトリミングされたマスクの側壁に形成された堆積物の一例を示す断面図である。It is sectional drawing which shows an example of the deposit formed in the side wall of the trimmed mask shown by FIG. 2C. 本発明の第1の実施形態による、半導体装置の製造工程の一の工程を説明する模式図である。It is a schematic diagram explaining one process of the manufacturing process of the semiconductor device by the 1st Embodiment of this invention. 図3Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 3A. 図3Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 3B. 図3Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 3C. 図3Bに示される工程を説明する拡大模式図である。It is an expansion schematic diagram explaining the process shown by FIG. 3B. 図4Aに引き続き、図3Bに示される工程を説明する拡大模式図である。FIG. 4B is an enlarged schematic view for explaining the process shown in FIG. 3B following FIG. 4A. 図3Dに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 3D. 図5Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 5A. 図5Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 5B. 図5Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 5C. 図5Dに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 5D. 図5Eに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 5E. 図6Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 6A. 第1の実施形態の変形例による、半導体装置の製造工程の一の工程を説明する模式図である。It is a schematic diagram explaining one process of the manufacturing process of a semiconductor device by the modification of 1st Embodiment. 図7Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 7A. 図7Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 7B. 図7Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 7C. 図7Dに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 7D. 図8Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 8A. 図8Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 8B. 図8Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 8C. 図8Dに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 8D. 図9Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 9A. 図9Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 9B. 図9Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 9C. 第1の実施形態の他の変形例による、半導体装置の製造工程の一の工程であって、図7Aに示す工程に対応した工程を説明する模式図である。FIG. 7B is a schematic diagram for explaining a process corresponding to the process shown in FIG. 7A, which is one process of the manufacturing process of the semiconductor device according to another modification of the first embodiment. 本発明の第2の実施形態による、半導体装置の製造工程の一例を示した工程図である。It is process drawing which showed an example of the manufacturing process of the semiconductor device by the 2nd Embodiment of this invention. 図11Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 11A. 図11Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 11B. 図11Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 11C. 図12Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 12A. 図12Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 12B. 図12Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 12C. 図13Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 13A. 図13Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 13B. 図13Cに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 13C. 図14Aに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 14A. 図14Bに示される工程の後の工程を説明する模式図である。It is a schematic diagram explaining the process after the process shown by FIG. 14B. 本発明の第4の実施形態による半導体装置の一例を示した模式図である。It is the schematic diagram which showed an example of the semiconductor device by the 4th Embodiment of this invention. 第4の実施形態による半導体装置のパターンの寸法の一例を示したテーブルである。It is the table which showed an example of the dimension of the pattern of the semiconductor device by 4th Embodiment. 上記半導体装置を製造するための半導体製造装置の一例を示す平面図である。It is a top view which shows an example of the semiconductor manufacturing apparatus for manufacturing the said semiconductor device.
符号の説明Explanation of symbols
21 SiO
22 SiN膜
23 反射防止膜
25 マスクパターン
30 パターン
31 アモルファスシリコン膜
32 凹部
33a 堆積物
33b 堆積物
35 アモルファスシリコン膜
36 凹部
37a 堆積物
37b 堆積物
21 SiO 2 film 22 SiN film 23 Antireflection film 25 Mask pattern 30 Pattern 31 Amorphous silicon film 32 Recess 33a Deposit 33b Deposit 35 Amorphous silicon film 36 Recess 37a Deposit 37b Deposit
 本発明の実施の形態によれば、多数のラインとラインの間隔寸法との比がほぼ1:1となるパターン、即ちいわゆる1:1のラインアンドスペースのパターンを形成するにあたり、被エッチング膜及び犠牲膜が下からこの順に積層された基板を用い、パターンのダブル化を2回行っている。この時犠牲膜上にラインの幅とラインの間隔寸法が3:5のマスクパターンを形成し、次いでラインの両側壁に、ラインの幅の1/3の幅のサイドウォール(堆積物)を形成している。そのため、このサイドウォールのパターンを犠牲膜に転写することで、その幅と間隔寸法とが1:3のラインパターンが形成される。更にこのパターンのラインの両側壁に、当該ラインの幅と同じ幅のサイドウォールを形成し、このサイドウォールを被エッチング膜に転写することで、前記マスクパターンのラインの4倍(2倍×2倍)数のパターンを形成することができる。従って、広い線幅のラインアンドスペースのパターンから狭い線幅の1:1のラインアンドスペースのパターンを得ることができるので、本発明の実施の形態は半導体装置のパターンの微細化に有効な技術である。 According to the embodiment of the present invention, in forming a pattern in which the ratio of a large number of lines to the line spacing is approximately 1: 1, that is, a so-called 1: 1 line and space pattern, Using a substrate on which sacrificial films are stacked in this order from the bottom, the pattern is doubled twice. At this time, a mask pattern having a line width and a line spacing of 3: 5 is formed on the sacrificial film, and then sidewalls (deposits) having a width of 1/3 of the line width are formed on both side walls of the line. is doing. Therefore, by transferring the sidewall pattern onto the sacrificial film, a line pattern having a width and a spacing dimension of 1: 3 is formed. Further, sidewalls having the same width as the line width are formed on both side walls of the line of this pattern, and this sidewall is transferred to the film to be etched, so that the line of the mask pattern is 4 times (2 times × 2). Multiple times) patterns can be formed. Therefore, since a 1: 1 line and space pattern with a narrow line width can be obtained from a line and space pattern with a wide line width, the embodiment of the present invention is a technique effective for miniaturizing the pattern of a semiconductor device. It is.
 (第1の実施の形態:パターンの4倍化)
 本発明の第1の実施形態による半導体装置の製造方法が適用される基板である半導体ウェハ(以下「ウェハ」という)Wについて図3Aを用いて説明する。図示のとおり、ウェハWは、例えばシリコンを含む有機系の膜であるフォトレジストマスク24、例えばシリコンを含む有機系の犠牲膜である反射防止膜(BARC)23、被エッチング膜である窒化シリコン膜(以下「SiN膜」という)22、酸化シリコン膜(以下「SiO膜」という)21が上からこの順に形成された積層構造を有している。フォトレジストマスク24には例えば背景技術の欄で説明したように、例えば光源としてArFエキシマレーザを用いたフォトリソグラフィにより多数のライン26からなる第1のマスクパターン25が形成されている。尚、互いに隣接するライン26、26間のスペース部分を溝27と呼ぶこととする。図3ではその断面のみを示しているが、このライン26と溝27とは図3の紙面に垂直な方向に伸びるように、互いに平行に形成されている。また、溝27の底には反射防止膜23が露出している。
(First embodiment: pattern quadrupling)
A semiconductor wafer (hereinafter referred to as “wafer”) W, which is a substrate to which the semiconductor device manufacturing method according to the first embodiment of the present invention is applied, will be described with reference to FIG. 3A. As shown in the drawing, a wafer W is made of, for example, a photoresist mask 24 that is an organic film containing silicon, an antireflection film (BARC) 23 that is an organic sacrificial film containing silicon, and a silicon nitride film that is a film to be etched. (Hereinafter referred to as “SiN film”) 22 and silicon oxide film (hereinafter referred to as “SiO 2 film”) 21 have a laminated structure formed in this order from the top. For example, as described in the background art section, a first mask pattern 25 including a large number of lines 26 is formed on the photoresist mask 24 by photolithography using, for example, an ArF excimer laser as a light source. A space portion between adjacent lines 26 and 26 is referred to as a groove 27. Although only the cross section is shown in FIG. 3, the line 26 and the groove 27 are formed in parallel to each other so as to extend in a direction perpendicular to the paper surface of FIG. Further, the antireflection film 23 is exposed at the bottom of the groove 27.
 また、第1のマスクパターン25において、例えば、ライン26の幅M1は約60nmであって良く、溝27の開口幅M2は約100nmであって良い。従って、幅M1と開口幅M2との比は3:5となっている。また、SiN膜22の膜厚H1は例えば27nmであって良く、反射防止膜23の膜厚H2は例えば27nmであって良く、フォトレジストマスク24の膜厚H3は例えば27nmであって良い。 In the first mask pattern 25, for example, the width M1 of the line 26 may be about 60 nm, and the opening width M2 of the groove 27 may be about 100 nm. Therefore, the ratio between the width M1 and the opening width M2 is 3: 5. Further, the film thickness H1 of the SiN film 22 may be, for example, 27 nm, the film thickness H2 of the antireflection film 23 may be, for example, 27 nm, and the film thickness H3 of the photoresist mask 24 may be, for example, 27 nm.
 次に、上記の構成を有するウェハWに対して、処理ガスとして例えばSiH(モノシラン)ガスを供給すると共に、ウェハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜を行う。この成膜においては、初めは第1のマスクパターン25の形状に沿ってアモルファスシリコン膜31が成膜されていくが、成膜を続けていくと、図4Aに示すように、ライン26の側壁に沿って下に向かうに従って幅が広くなるように成膜される。その結果、図4Bに示すように、アモルファスシリコン膜31は、ライン26の表面に沿った平坦部と、ライン26の角に対応した湾曲部と、反射防止膜23の表面に沿った平坦部とを有することとなる。ここで、2つの平坦部における膜厚は互いにほぼ等しい。また、ライン26の側壁においても平坦部における膜厚とほぼ等しくなる。このようなアモルファスシリコン膜31の成膜後は、図4Bに示すように、見かけ上のライン26の幅は大きくなり、溝27に対応するアモルファスシリコン膜31の凹部32の開口幅M3は小さくなっている。そして、図3Bに示すように、アモルファスシリコン膜31の凹部32の開口幅M3と、この凹部32の内壁とライン26の側壁の間の長さM4(以下、アモルファスシリコン膜32の「側壁幅」という)との比が3:1となっている。このとき、アモルファスシリコン膜32の厚さ(溝27の底に露出する反射防止膜23の表面から測った厚さ、およびライン26の表面から測った厚さ)が例えば20nmであって良い。 Next, for example, SiH 4 (monosilane) gas is supplied as a processing gas to the wafer W having the above-described configuration, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C. to form a film by thermal CVD. Do. In this film formation, an amorphous silicon film 31 is initially formed along the shape of the first mask pattern 25. If the film formation is continued, as shown in FIG. The film is formed so as to increase in width as it goes downward along the line. As a result, as shown in FIG. 4B, the amorphous silicon film 31 includes a flat portion along the surface of the line 26, a curved portion corresponding to the corner of the line 26, and a flat portion along the surface of the antireflection film 23. It will have. Here, the film thicknesses of the two flat portions are substantially equal to each other. Further, the thickness of the side wall of the line 26 is substantially equal to the film thickness in the flat portion. After such an amorphous silicon film 31 is formed, the apparent width of the line 26 is increased and the opening width M3 of the recess 32 of the amorphous silicon film 31 corresponding to the groove 27 is decreased, as shown in FIG. 4B. ing. 3B, the opening width M3 of the recess 32 of the amorphous silicon film 31 and the length M4 between the inner wall of the recess 32 and the side wall of the line 26 (hereinafter referred to as the “side wall width of the amorphous silicon film 32”). The ratio is 3: 1. At this time, the thickness of the amorphous silicon film 32 (the thickness measured from the surface of the antireflection film 23 exposed at the bottom of the groove 27 and the thickness measured from the surface of the line 26) may be, for example, 20 nm.
 続いて、ウェハWに処理ガスとして例えばO(酸素)ガスとHBr(臭化水素)ガスとを供給し、これらの処理ガスをプラズマ化して、アモルファスシリコン膜31を下方に向けて異方性エッチングする。このエッチングをフォトレジストマスク24の表層が露出するまで続けると、図3Cに示すように、一つのライン26の両側壁には、下端へ向かって広がる形状を有する、アモルファスシリコン膜31による一組(33)の堆積物(サイドウォール)33a、33bが残る。また、このエッチングにより、隣り合う2つの組33、33の間に溝27の底面(反射防止膜23の表面)が露出する。この時アモルファスシリコン膜31が異方性エッチングにより均一に下方に向かってエッチングされていくので、この堆積物33a(33b)の幅M6は、既述のアモルファスシリコン膜31の側壁幅M4とほぼ等しくなる。従って、組33、33の間に露出した反射防止膜23の幅M5についても既述の幅M3とほぼ等しくなり、幅M5と堆積物33a(33b)の幅M6との比は3:1となる。 Subsequently, for example, O 2 (oxygen) gas and HBr (hydrogen bromide) gas are supplied as processing gases to the wafer W, these processing gases are turned into plasma, and the amorphous silicon film 31 is directed downward to be anisotropic. Etch. If this etching is continued until the surface layer of the photoresist mask 24 is exposed, as shown in FIG. 3C, a pair of amorphous silicon films 31 having a shape extending toward the lower end is formed on both side walls of one line 26 ( 33) deposits (sidewalls) 33a and 33b remain. In addition, the bottom surface of the groove 27 (the surface of the antireflection film 23) is exposed between the two adjacent sets 33, 33 by this etching. At this time, since the amorphous silicon film 31 is uniformly etched downward by anisotropic etching, the width M6 of the deposit 33a (33b) is substantially equal to the side wall width M4 of the amorphous silicon film 31 described above. Become. Accordingly, the width M5 of the antireflection film 23 exposed between the sets 33 and 33 is also substantially equal to the width M3 described above, and the ratio of the width M5 and the width M6 of the deposit 33a (33b) is 3: 1. Become.
 次いで、処理ガスとして例えばOガス及びAr(アルゴン)ガスをウェハWに供給し、これらの処理ガスをプラズマ化してフォトレジストマスク24をエッチングする。反射防止膜23は、既述のようにフォトレジストマスク24と組成が似通っているので、フォトレジストマスク24と共に堆積物33a、33bをマスクとして除去されていく(図3D)。そして、図5Aに示すように、堆積物33a、33b間の反射防止膜23が除去されてSiN膜22が露出するまでエッチングを続ける。 Next, for example, O 2 gas and Ar (argon) gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to etch the photoresist mask 24. Since the antireflection film 23 is similar in composition to the photoresist mask 24 as described above, it is removed together with the photoresist mask 24 using the deposits 33a and 33b as a mask (FIG. 3D). Then, as shown in FIG. 5A, the etching is continued until the antireflection film 23 between the deposits 33a and 33b is removed and the SiN film 22 is exposed.
 その後、処理ガスとして例えばOガスとHBrガスとをウェハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜31から形成された堆積物33a,33bを除去する(図5B)。このエッチングにより、堆積物33a,33bにより形成されたパターンが反射防止膜23に転写されて、ライン状の反射防止膜23がSiN膜22上に第2のマスクパターンとして残る。以上のダブルパターン形成工程により、このSiN膜22に形成されたパターンの数は、図3Aに示すフォトレジストマスク24に形成されていた第1のマスクパターン25の(ライン26及び溝27)の数の2倍になる。言い換えると、図3AにおいてはM1+M2の幅に一つのライン26と一つの溝27があるが、図5Bにおいては同じ幅に2つのラインと2つの溝がある。 Thereafter, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 33a and 33b formed from the amorphous silicon film 31 (FIG. 5B). By this etching, the pattern formed by the deposits 33a and 33b is transferred to the antireflection film 23, and the line-shaped antireflection film 23 remains on the SiN film 22 as a second mask pattern. The number of patterns formed on the SiN film 22 by the above double pattern formation step is equal to the number of (line 26 and groove 27) of the first mask pattern 25 formed on the photoresist mask 24 shown in FIG. 3A. Twice as much. In other words, in FIG. 3A, there are one line 26 and one groove 27 in the width of M1 + M2, but in FIG. 5B there are two lines and two grooves in the same width.
 続いて、反射防止膜23に形成されたパターンの数を2倍化するため、ダブルパターン形成工程を再度行う。先ず、ウェハWに処理ガスとして例えばSiHガスを供給すると共に、ウェハWを300℃以下の温度例えば100℃に加熱して熱CVDなどによる成膜を行う。この成膜により、SiN膜22の表面及び反射防止膜23の露出面がアモルファスシリコン膜35により被覆されていく。そして、アモルファスシリコン膜35は、図5Cに示すように、このアモルファスシリコン膜35の凹部36の開口幅M7と、アモルファスシリコン膜35の側壁幅M8との比が1:1となる膜厚まで(開口幅M7とアモルファスシリコン膜35の側壁幅M8とが等しくなるまで)行われる。成膜後のアモルファスシリコン膜35の膜厚としては、例えば20nmとなる。 Subsequently, in order to double the number of patterns formed on the antireflection film 23, a double pattern forming process is performed again. First, for example, SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., to form a film by thermal CVD or the like. By this film formation, the surface of the SiN film 22 and the exposed surface of the antireflection film 23 are covered with the amorphous silicon film 35. Then, as shown in FIG. 5C, the amorphous silicon film 35 has a film thickness in which the ratio of the opening width M7 of the recess 36 of the amorphous silicon film 35 to the sidewall width M8 of the amorphous silicon film 35 is 1: 1 ( (Until the opening width M7 and the sidewall width M8 of the amorphous silicon film 35 are equal). The film thickness of the amorphous silicon film 35 after film formation is, for example, 20 nm.
 しかる後、処理ガスとして例えばOガスとHBrガスとをウェハWに供給し、これらの処理ガスをプラズマ化してアモルファスシリコン膜35を下方に向けて異方性エッチングする。このエッチングをライン状の反射防止膜23の表層が露出するまで続けると、反射防止膜23の両側壁には、アモルファスシリコン膜35からなる堆積物37a、37bの組37が形成される。また、この組37、37の間には、SiN膜22が露出する。この堆積物37a、37bの幅M10は、既述のように、異方性エッチングにより上記の寸法M8とほぼ等しくなる。また、組37、37の間の寸法M9についても、凹部36の幅M7とほぼ等しくなるので、寸法M9と幅M10との比が1:1となる。 Thereafter, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the amorphous silicon film 35 is anisotropically etched downward. If this etching is continued until the surface layer of the line-shaped antireflection film 23 is exposed, a set 37 of deposits 37 a and 37 b made of an amorphous silicon film 35 is formed on both side walls of the antireflection film 23. Further, the SiN film 22 is exposed between the groups 37 and 37. As described above, the width M10 of the deposits 37a and 37b becomes substantially equal to the dimension M8 by anisotropic etching. Also, the dimension M9 between the sets 37 and 37 is substantially equal to the width M7 of the recess 36, so the ratio of the dimension M9 to the width M10 is 1: 1.
 そして、処理ガスとして例えばOガス及びArガスをウェハWに供給し、これらの処理ガスをプラズマ化して、反射防止膜23をエッチングにより除去して堆積物37a、37bの間のSiN膜22を露出させる(図5E)。このエッチングにより、堆積物37a、37bの幅M12と、堆積物37a、37b間の幅M11と、は20nmとなり、従って両者の比がほぼ1:1となる。 Then, for example, O 2 gas and Ar gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, the antireflection film 23 is removed by etching, and the SiN film 22 between the deposits 37a and 37b is formed. Expose (Figure 5E). By this etching, the width M12 of the deposits 37a and 37b and the width M11 between the deposits 37a and 37b are 20 nm, and thus the ratio between the two is approximately 1: 1.
 その後、処理ガスとして例えばCF、CHF、CHおよびFなどのフッ素を含むガスのいずれか又は2以上の組み合わせを、Arガスかつ/またはOガスとともにウェハWに供給する。そして、これらの処理ガスをプラズマ化し、アモルファスシリコン膜35の堆積物37a、37bをマスクとしてSiO膜21が露出するまでSiN膜22を下方に向けて異方性エッチングする。このエッチングにより、堆積物37a、37bのパターンがSiN膜22に転写され、図6Aに示すように、ライン28と溝29とからなるパターン30がSiN膜22に形成される。既述のように、堆積物37a(37b)の幅M12と、堆積物37a、37b間の幅M11と、の比がほぼ1:1となっているので、これらの寸法がパターン30に転写され、ライン28の幅M14と溝29の開口幅M13とが夫々20nmとなり、従って両者の比もほぼ1:1となる。以上の2回のダブルパターン形成工程により、パターン30に形成されるライン28及び溝29の数は、第1のマスクパターン25のライン26及び溝27の数の4倍となる。 Thereafter, any one or a combination of two or more gases including fluorine such as CF 4 , CHF 3 , CH 2 F 2, and F 2 as a processing gas is supplied to the wafer W together with Ar gas and / or O 2 gas. Then, these processing gases are turned into plasma, and the SiN film 22 is anisotropically etched downwards until the SiO 2 film 21 is exposed using the deposits 37a and 37b of the amorphous silicon film 35 as a mask. By this etching, the pattern of the deposits 37a and 37b is transferred to the SiN film 22, and a pattern 30 including lines 28 and grooves 29 is formed on the SiN film 22 as shown in FIG. 6A. As described above, since the ratio of the width M12 of the deposit 37a (37b) and the width M11 between the deposits 37a and 37b is approximately 1: 1, these dimensions are transferred to the pattern 30. The width M14 of the line 28 and the opening width M13 of the groove 29 are each 20 nm, and therefore the ratio of both is approximately 1: 1. The number of the lines 28 and the grooves 29 formed in the pattern 30 is four times the number of the lines 26 and the grooves 27 of the first mask pattern 25 by the above double pattern forming process.
 そして、処理ガスとして例えばOガスとHBrガスとをウェハWに供給し、これらの処理ガスをプラズマ化して、堆積物37a,37bを除去する(図6B)。 Then, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 37a and 37b (FIG. 6B).
 この第1の実施の形態によれば、多数のライン28の幅M14と溝29の開口幅M13との比がほぼ1:1となるパターン30をSiN膜22に形成するにあたり、既述の多層構造を有するウェハWに対してパターンのダブル化(ダブルパターン形成工程)を2回行っている。この時ライン26の幅M1と溝27の開口幅M2との比が3:5となるようにフォトレジストマスク24の第1のマスクパターン25を形成すると共に、開口幅M5と堆積物33a(33b)の幅M6との比が3:1となるようにアモルファスシリコン膜31を成膜している。そのため、この堆積物33a(33b)のパターンを反射防止膜23に転写することでその幅と間隔寸法とが1:3のラインパターンが形成される。更にこのパターンの両側壁に、当該ラインの幅と同じ幅のサイドウォール(堆積物37a、37b)を形成し、このサイドウォールをSiN膜22に転写することで、第1のマスクパターン25のライン26の数の4倍(2倍×2倍)数を有するパターン30を形成することができる。従って、広い線幅のマスクパターン25から、狭い線幅の1:1のパターン30を得ることができる。 According to the first embodiment, when the pattern 30 in which the ratio of the width M14 of the numerous lines 28 to the opening width M13 of the grooves 29 is approximately 1: 1 is formed on the SiN film 22, the multilayer described above is used. A double pattern (double pattern formation process) is performed twice on the wafer W having a structure. At this time, the first mask pattern 25 of the photoresist mask 24 is formed so that the ratio of the width M1 of the line 26 to the opening width M2 of the groove 27 is 3: 5, and the opening width M5 and the deposit 33a (33b) are formed. The amorphous silicon film 31 is formed so that the ratio to the width M6 is 3: 1. Therefore, by transferring the pattern of the deposit 33a (33b) to the antireflection film 23, a line pattern having a width and a distance dimension of 1: 3 is formed. Further, sidewalls ( deposits 37a and 37b) having the same width as the line are formed on both side walls of the pattern, and the sidewalls of the first mask pattern 25 are transferred to the SiN film 22. A pattern 30 having a number four times the number of 26 (2 times × 2 times) can be formed. Therefore, a 1: 1 pattern 30 having a narrow line width can be obtained from the mask pattern 25 having a wide line width.
 この結果、露光装置の光源の波長では形成困難な微細な線幅であっても、即ち露光装置の線幅の限界よりも小さい線幅でパターン30を形成でき、半導体装置のパターン30の微細化に寄与することができる。また、例えばKrFのエキシマレーザなど、波長の長い露光装置を用いながら、微細なパターン30を作り出せるので、製造コストの低廉化も図ることができる。 As a result, even if the line width is difficult to form with the wavelength of the light source of the exposure apparatus, that is, the pattern 30 can be formed with a line width smaller than the limit of the line width of the exposure apparatus. Can contribute. In addition, since a fine pattern 30 can be created using an exposure apparatus having a long wavelength, such as a KrF excimer laser, the manufacturing cost can be reduced.
 また、フォトリソグラフィによりフォトレジストマスク24に第1のマスクパターン25を形成するにあたって、上記のように幅M1と開口幅M2との比が3:5というように1:1に近い値となるので、後述するように、パターン30を1回のダブルパターン形成工程により形成する場合(幅M1と開口幅M2との比が1:3)よりも第1のマスクパターン25を容易に作製できる。さらに、背景技術の項にて説明したトリミング工程(シュリンクプロセス)が不要になるので、パターン30の寸法を精度高く設定することができる。また、トリミング工程が不要になることから、ウェハWの表層にフォトレジストマスク24や反射防止膜23といった有機系の膜が形成された従来の積層構造のウェハWに対しても、本発明の第1の実施の形態によるパターン形成方法は有用である。 Further, when the first mask pattern 25 is formed on the photoresist mask 24 by photolithography, the ratio of the width M1 to the opening width M2 is close to 1: 1, such as 3: 5 as described above. As will be described later, the first mask pattern 25 can be manufactured more easily than when the pattern 30 is formed by a single double pattern formation process (the ratio of the width M1 to the opening width M2 is 1: 3). Furthermore, since the trimming process (shrink process) described in the section of the background art becomes unnecessary, the dimension of the pattern 30 can be set with high accuracy. Further, since the trimming process is not required, the wafer W having the conventional laminated structure in which the organic film such as the photoresist mask 24 and the antireflection film 23 is formed on the surface layer of the wafer W is also described. The pattern forming method according to one embodiment is useful.
 また、既述のように、アモルファスシリコン膜31(35)を熱CVDにより成膜するにあたり、300℃以下の低温例えば100℃にて行うようにしているので、上記の有機系の膜にアモルファスシリコン膜31(35)を成膜できる。尚、このように低温でアモルファスシリコン膜31(35)を成膜する手法としては、既述の熱CVD以外にも、例えばバッチ式の縦型熱処理装置において、処理ガスをプラズマ化したプラズマを用いて行うようにしても良い。 Further, as described above, the amorphous silicon film 31 (35) is formed by thermal CVD at a low temperature of 300 ° C. or lower, for example, 100 ° C. The film 31 (35) can be formed. In addition, as a method for forming the amorphous silicon film 31 (35) at such a low temperature, in addition to the above-described thermal CVD, for example, in a batch type vertical heat treatment apparatus, plasma obtained by converting a processing gas into plasma is used. May be performed.
 尚、第1のマスクパターン25のライン26の幅M1と溝27の幅M2との比は、既述のように3:5になるように設計されるが、加工誤差を考慮して、デバイスの製造において影響を与えないように例えば3:4.75~5.25(±5%)であれば良い。同様に、アモルファスシリコン膜31、35の膜厚についても、開口幅M5と幅M6との比、寸法M9と幅M10との比の夫々が上記の誤差範囲(±5%)内に収まれば良い。以下の実施の形態においても、夫々のマスクパターンの寸法に応じて、同様の加工誤差内に収まるようにマスクパターン寸法やアモルファスシリコン膜の膜厚が設定される。また、上記のSiN膜22を被エッチング膜として説明したが、このSiN膜22に形成されたパターン30をマスクとしてその下層膜であるSiO膜21にパターン30を転写するようにしても良い。 The ratio of the width M1 of the line 26 and the width M2 of the groove 27 of the first mask pattern 25 is designed to be 3: 5 as described above. For example, 3: 4.75 to 5.25 (± 5%) may be used so as not to affect the manufacturing of the above. Similarly, regarding the film thicknesses of the amorphous silicon films 31 and 35, the ratio of the opening width M5 to the width M6 and the ratio of the dimension M9 to the width M10 may be within the above error range (± 5%). . Also in the following embodiments, the mask pattern dimensions and the thickness of the amorphous silicon film are set so as to fall within the same processing error according to the dimensions of the respective mask patterns. Although the SiN film 22 has been described as an etching target film, the pattern 30 may be transferred to the SiO 2 film 21 as a lower layer film using the pattern 30 formed on the SiN film 22 as a mask.
 (第1の実施の形態の変形例1:反射防止膜の除去)
 上記の実施の形態では、1回目のダブルパターン形成工程(図5A)において、堆積物33a(33b)を反射防止膜23上に形成するようにしたが、この反射防止膜23が有機系の膜であるため、反射防止膜23の強度の不足により堆積物33a(33b)が倒れるおそれがある。この場合は、例えば以下のように変形しても良い。
(Modification Example 1 of First Embodiment: Removal of Antireflection Film)
In the above embodiment, the deposit 33a (33b) is formed on the antireflection film 23 in the first double pattern formation step (FIG. 5A). This antireflection film 23 is an organic film. Therefore, the deposit 33a (33b) may fall down due to insufficient strength of the antireflection film 23. In this case, you may deform | transform as follows, for example.
 図7Aに示すように、図3Aに示す反射防止膜23とSiN膜22との間に、例えば27nmの膜厚を有するSiO膜38を介在させる。そして、膜21,22,38,23,24を有するウェハWに対して、処理ガスとして例えばOガス及びArガスを供給し、これらの処理ガスをプラズマ化してフォトレジストマスク24をマスクとして反射防止膜23をエッチングする。このプラズマにより、図7Bに示すように、フォトレジストマスク24もエッチングされていくので、反射防止膜23の上面はフォトレジストマスク24が僅かに残るか、あるいは反射防止膜23が露出する。そして、このエッチングによりSiO膜38が露出したウェハWに対して、既述の例と同様に図7C~図8Aのダブルパターン形成工程を行う。この時、アモルファスシリコン膜31の側壁幅(堆積物33a(33b)の幅M3、M4)については上記の実施の形態と同じ寸法に設定される。この堆積物33a、33bは、既述のように、SiO膜38上に形成される。尚、エッチング処理や成膜処理については、上記の実施の形態と同じ工程となるため省略する。 As shown in FIG. 7A, an SiO 2 film 38 having a film thickness of 27 nm, for example, is interposed between the antireflection film 23 and the SiN film 22 shown in FIG. 3A. Then, for example, O 2 gas and Ar gas are supplied as processing gases to the wafer W having the films 21, 22, 38, 23, and 24, and these processing gases are turned into plasma and reflected using the photoresist mask 24 as a mask. The prevention film 23 is etched. As shown in FIG. 7B, this plasma also etches the photoresist mask 24, so that the photoresist mask 24 remains slightly on the upper surface of the antireflection film 23 or the antireflection film 23 is exposed. Then, the double pattern formation process of FIGS. 7C to 8A is performed on the wafer W from which the SiO 2 film 38 is exposed by this etching, as in the above-described example. At this time, the side wall widths (widths M3 and M4 of the deposits 33a (33b)) of the amorphous silicon film 31 are set to the same dimensions as those in the above embodiment. The deposits 33a and 33b are formed on the SiO 2 film 38 as described above. Note that the etching process and the film forming process are the same as those in the above-described embodiment, and therefore will be omitted.
 そして、ウェハWに処理ガスとして例えばCFガス、CHFガス、Arガス、Oガス、CHガス及びFガスを供給し、これらの処理ガスをプラズマ化して、SiN膜22が露出するまでSiO膜38を下方に向けて異方性エッチングする(図8B)。 Then, for example, CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are supplied as processing gases to the wafer W, and these processing gases are turned into plasma, whereby the SiN film 22 is formed. The SiO 2 film 38 is anisotropically etched downward until it is exposed (FIG. 8B).
 次いで、堆積物33a、33bを除去して(図8C)、図8D~図9Dのダブルパターン形成工程を行う。SiO膜38をエッチングするとき(図9B)には、上記のように、処理ガスとして例えばCFガス、CHFガス、Arガス、Oガス、CHガス及びFガスが用いられる。この時も、アモルファスシリコン膜35の側壁幅(堆積物37a(37b)の幅M7、M8)については上記の実施の形態と同じ寸法に設定される。以上の工程により、上記の実施の形態と同様の寸法(M13、M14)のパターン30が形成される。 Next, the deposits 33a and 33b are removed (FIG. 8C), and the double pattern formation process of FIGS. 8D to 9D is performed. When the SiO 2 film 38 is etched (FIG. 9B), for example, CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are used as the processing gas as described above. It is done. Also at this time, the side wall width (the widths M7 and M8 of the deposit 37a (37b)) of the amorphous silicon film 35 is set to the same dimension as that of the above embodiment. Through the above steps, the pattern 30 having the same dimensions (M13, M14) as the above embodiment is formed.
 この実施の形態によれば、上記の実施の形態と同様の効果が得られる。また、この例では、1回目のダブルパターン形成工程において、既述の反射防止膜23よりも強度の強いSiO膜38上に堆積物33a(33b)を形成しているので、堆積物33a(33b)がSiO膜38を介してウェハWに強固に固定され、従って堆積物33a、33b間の寸法誤差を極めて少なくすることができ、パターン30の寸法の精度を高めることができる。 According to this embodiment, the same effect as the above-described embodiment can be obtained. In this example, in the first double pattern formation step, the deposit 33a (33b) is formed on the SiO 2 film 38 having a higher strength than the above-described antireflection film 23. Therefore, the deposit 33a ( 33b) is firmly fixed to the wafer W via the SiO 2 film 38, so that the dimensional error between the deposits 33a and 33b can be extremely reduced, and the dimensional accuracy of the pattern 30 can be increased.
 (第1の実施の形態の変形例2:無機膜積層構造)
 第1の実施の形態においては、何れも有機物からなる、ウェハWの最上膜としてのフォトレジストマスク24とその下膜としての反射防止膜23とを用いたが(図3A)、図10に示すように、これらの膜24、23に替えて、無機物からなる例えばSiN膜40とSiO膜39とを用いても良い。この例においても上記の変形例1と同様のパターン30が形成され、同様の効果が得られる。また、アモルファスシリコン膜31、35を無機物膜に成膜することができるため、その成膜温度を例えば200℃程度に高くすることによって、より緻密で形状精度の高い堆積物33a(33b)、37a(37b)を形成できる。
(Variation 2 of the first embodiment: inorganic film laminated structure)
In the first embodiment, the photoresist mask 24 as the uppermost film of the wafer W and the antireflection film 23 as the lower film are used (FIG. 3A). Thus, instead of these films 24 and 23, for example, a SiN film 40 and a SiO 2 film 39 made of an inorganic material may be used. Also in this example, the same pattern 30 as in the first modification is formed, and the same effect can be obtained. Further, since the amorphous silicon films 31 and 35 can be formed on the inorganic film, the deposits 33a (33b) and 37a with higher density and higher shape accuracy can be obtained by increasing the film formation temperature to, for example, about 200 ° C. (37b) can be formed.
 (第2の実施の形態:パターンの8倍化)
 上記の変形例2においてSiN膜40に第1のマスクパターン25を形成するにあたって、この第1のマスクパターン25と同じパターンを有するレジスト膜をSiN膜40上に形成し、このレジスト膜を用いてSiN膜40をエッチングしても良いが、以下のようにSiN膜40に第1のマスクパターン25を形成するようにしても良い。
(Second embodiment: Eightfold increase in pattern)
In forming the first mask pattern 25 on the SiN film 40 in Modification 2 above, a resist film having the same pattern as the first mask pattern 25 is formed on the SiN film 40, and this resist film is used. Although the SiN film 40 may be etched, the first mask pattern 25 may be formed in the SiN film 40 as follows.
 まず、図11Aに示すように、SiN膜40、SiO膜39、SiN膜22、SiO膜21を上からこの順に有するウェハWを用意する。次いで、その最上膜であるSiN膜40上にレジスト膜を形成し、このレジスト膜から例えばフォトリソグラフィによりライン42と溝43とからなる第3のマスクパターン44を形成する。この例では、第3のマスクパターン44において、ライン42の幅N1は例えば100nmであり、溝43の開口幅N2は例えば220nmであって良い。従って、幅N1と開口幅N2との比は5:11となっている。尚、レジストマスク41の膜厚は27nmである。 First, as shown in FIG. 11A, a wafer W having a SiN film 40, a SiO 2 film 39, a SiN film 22, and a SiO 2 film 21 in this order from the top is prepared. Next, a resist film is formed on the uppermost SiN film 40, and a third mask pattern 44 including lines 42 and grooves 43 is formed from the resist film by, for example, photolithography. In this example, in the third mask pattern 44, the width N1 of the line 42 may be 100 nm, for example, and the opening width N2 of the groove 43 may be 220 nm, for example. Therefore, the ratio between the width N1 and the opening width N2 is 5:11. The film thickness of the resist mask 41 is 27 nm.
 次いで、ウェハWに処理ガスとして例えばSiHガスを供給すると共に、ウェハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜を行い、アモルファスシリコン膜45を成膜する。この時、アモルファスシリコン膜45の凹部46の開口幅N3と、凹部46の内壁とライン42の側壁との間の長さN4(アモルファスシリコン膜45の側壁幅N4)との比が5:3となるまでアモルファスシリコン膜45を成膜する(図11B)。成膜後のアモルファスシリコン膜45の膜厚としては、例えば60nmであって良い。 Next, for example, SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., and film formation is performed by thermal CVD, thereby forming an amorphous silicon film 45. At this time, the ratio of the opening width N3 of the recess 46 in the amorphous silicon film 45 to the length N4 between the inner wall of the recess 46 and the side wall of the line 42 (side wall width N4 of the amorphous silicon film 45) is 5: 3. The amorphous silicon film 45 is formed until this is reached (FIG. 11B). The film thickness of the amorphous silicon film 45 after film formation may be, for example, 60 nm.
 続いて、ウェハWに処理ガスとして例えばOガスとHBrガスとを供給し、これらの処理ガスをプラズマ化して、フォトレジストマスク24の表面が露出するまでアモルファスシリコン膜45を下方に向けて異方性エッチングする。このエッチングにより、図11Cに示すように、ライン42の両側壁にアモルファスシリコン膜45からなる一組の堆積物47(47a、47b)が形成され、隣り合う2つの組の堆積物47、47間にはSiN膜40が露出する。また、この堆積物47a(47b)の幅N6がアモルファス膜45の側壁幅N4にほぼ等しく、隣り合う2つの組の堆積物47、47の幅N5がアモルファス膜45の凹部46の開口幅N3にほぼ等しくなる。幅N5と堆積物47a(47b)の幅N6との比が5:3となる。 Subsequently, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the amorphous silicon film 45 is directed downward until the surface of the photoresist mask 24 is exposed. Isotropic etching. By this etching, as shown in FIG. 11C, a pair of deposits 47 (47a, 47b) made of the amorphous silicon film 45 is formed on both side walls of the line 42, and between two adjacent sets of deposits 47, 47. Thus, the SiN film 40 is exposed. The width N6 of the deposit 47a (47b) is substantially equal to the side wall width N4 of the amorphous film 45, and the width N5 of the two adjacent sets of deposits 47 and 47 is equal to the opening width N3 of the recess 46 of the amorphous film 45. Almost equal. The ratio between the width N5 and the width N6 of the deposit 47a (47b) is 5: 3.
 次いで、処理ガスとして例えばOガス及びArガスをウェハWに供給し、これらの処理ガスをプラズマ化してレジストマスク41を除去する(図12A)。これにより、堆積物47a、47b間にSiN膜40が露出する。そして、処理ガスとして例えばCFガス、CHFガス、Arガス、Oガス、CHガス及びFガスを用いて、これらの処理ガスをプラズマ化して、堆積物47a、47bをマスクとしてSiO膜39が露出するまでSiN膜40をエッチングする(図12B)。その後、処理ガスとして例えばOガスとHBrガスとをウェハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜45からなる堆積物47a,47bを除去する(図12C)。 Next, for example, O 2 gas and Ar gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the resist mask 41 (FIG. 12A). As a result, the SiN film 40 is exposed between the deposits 47a and 47b. Then, for example, CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas and F 2 gas are used as the processing gas, and these processing gases are turned into plasma to mask the deposits 47a and 47b. As shown in FIG. 12B, the SiN film 40 is etched until the SiO 2 film 39 is exposed. Thereafter, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 47a and 47b made of the amorphous silicon film 45 (FIG. 12C).
 以上のダブルパターン形成工程により、SiN膜40には既述の図10(図12C)に示すライン26と溝27とからなる第1のマスクパターン25が形成され、このライン26の幅M1が約60nmであり、溝27の開口幅M2が約100nmとなるので、幅M1と開口幅M2との比は3:5となる。また、この第1のマスクパターン25に形成されたパターン(ライン26及び溝27)の数は、第3のマスクパターン44に形成されたパターン(ライン42及び溝43)の数の2倍になる。 By the above double pattern forming process, the first mask pattern 25 including the line 26 and the groove 27 shown in FIG. 10 (FIG. 12C) described above is formed in the SiN film 40, and the width M1 of the line 26 is about Since the opening width M2 of the groove 27 is about 100 nm, the ratio of the width M1 to the opening width M2 is 3: 5. In addition, the number of patterns (lines 26 and grooves 27) formed in the first mask pattern 25 is twice the number of patterns (lines 42 and grooves 43) formed in the third mask pattern 44. .
 その後、このウェハWに対して既述のようにダブルパターン形成工程を2回繰り返して行うことにより、SiN膜22にパターン30が形成される(図9C参照)。従って、パターン30に形成されるライン28及び溝29の数は、第3のマスクパターン44のライン42及び溝43の数の8倍となる。 Thereafter, the pattern 30 is formed on the SiN film 22 by repeating the double pattern forming process twice as described above on the wafer W (see FIG. 9C). Accordingly, the number of lines 28 and grooves 29 formed in the pattern 30 is eight times the number of lines 42 and grooves 43 of the third mask pattern 44.
 この第2の実施の形態によれば、既述の多層構造のウェハWに1:1のパターン30を形成するにあたり、ライン42の幅N1と溝43の開口幅N2との比が5:11となるようにレジストマスク41の第3のマスクパターン44を形成すると共に、開口幅N5と堆積物47a(47b)の幅N6との比が5:3となるようにアモルファスシリコン膜45を成膜している。従って、このウェハWに対してダブルパターン形成工程を3回行うことにより、パターン30におけるライン28及び溝29の数を第3のマスクパターン44のライン42及び溝43の数の8倍に増やすことができ、そのため極めて微細な寸法のパターン30を形成することができる。 According to the second embodiment, when the 1: 1 pattern 30 is formed on the wafer W having the multilayer structure described above, the ratio of the width N1 of the line 42 to the opening width N2 of the groove 43 is 5:11. Then, the third mask pattern 44 of the resist mask 41 is formed so that the ratio of the opening width N5 and the width N6 of the deposit 47a (47b) is 5: 3. is doing. Therefore, the number of lines 28 and grooves 29 in the pattern 30 is increased to eight times the number of lines 42 and grooves 43 in the third mask pattern 44 by performing the double pattern forming process three times on the wafer W. Therefore, the pattern 30 having extremely fine dimensions can be formed.
 なお、図3Aに示すウェハWを用意して、第2の実施の形態による3回のダブルパターン形成工程を行っても良い。この場合、レジストマスク24の代わりに、例えばSiN膜などの無機膜を用いて、この無機膜の上に第3のマスクパターン44を有するフォトレジストマスクを形成し、このフォトレジストマスクを用いて無機膜をエッチングして、第3のマスクパターン44を有するマスクを形成しても良い。また、上述の変形例1において説明したように、SiN膜22と反射防止膜23との間にSiO膜38(図7A)を介在させても良い。これによれば、堆積物33をSiO膜38上に形成することができる。 Note that the wafer W shown in FIG. 3A may be prepared, and the double pattern forming process of the second embodiment may be performed. In this case, instead of the resist mask 24, an inorganic film such as a SiN film is used, and a photoresist mask having the third mask pattern 44 is formed on the inorganic film. The film may be etched to form a mask having the third mask pattern 44. Further, as described in the first modification, the SiO 2 film 38 (FIG. 7A) may be interposed between the SiN film 22 and the antireflection film 23. According to this, the deposit 33 can be formed on the SiO 2 film 38.
 (第3の実施の形態:パターンの16倍化)
 第2の実施の形態においてレジストマスク41に第3のマスクパターン44を形成するにあたって、既述のように、このレジストマスク41に直接フォトリソグラフィによりパターンを形成しても良いが、以下のようにしても良い。
(Third embodiment: 16 times the pattern)
In forming the third mask pattern 44 on the resist mask 41 in the second embodiment, the pattern may be directly formed on the resist mask 41 by photolithography as described above. May be.
 図13Aに示すように、(as-coatedの)レジストマスク41、SiN膜40、SiO膜39、SiN膜22、SiO膜21を上からこの順に有するウェハWを用意し、その最上膜であるレジストマスク41上に、例えばSiNからなる無機系の膜であるレジストマスク51を形成する。レジストマスク51は、ライン52と溝53とからなる第4のマスクパターン54を有している。具体的には、レジストマスク51は、例えば、レジストマスク41上にSiN膜を成膜し、このSiN膜上に形成された第4のマスクパターン54を有するフォトレジストマスクを用いてSiN膜をエッチングすることにより、形成される。この例では、第4のマスクパターン54において、ライン52の幅P1は約220nmであり、溝53の開口幅P2は約420nmであって良い。従って、幅N1と開口幅N2との比は11:21となっている。尚、レジストマスク51の膜厚は27nmである。 As shown in FIG. 13A, a wafer W having an (as-coated) resist mask 41, a SiN film 40, a SiO 2 film 39, a SiN film 22, and a SiO 2 film 21 in this order from the top is prepared. On a resist mask 41, a resist mask 51, which is an inorganic film made of SiN, for example, is formed. The resist mask 51 has a fourth mask pattern 54 including lines 52 and grooves 53. Specifically, as the resist mask 51, for example, a SiN film is formed on the resist mask 41, and the SiN film is etched using a photoresist mask having a fourth mask pattern 54 formed on the SiN film. Is formed. In this example, in the fourth mask pattern 54, the width P1 of the line 52 may be about 220 nm, and the opening width P2 of the groove 53 may be about 420 nm. Therefore, the ratio between the width N1 and the opening width N2 is 11:21. The film thickness of the resist mask 51 is 27 nm.
 次に、ウェハWに処理ガスとして例えばSiHガスを供給すると共に、ウェハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜処理を行い、アモルファスシリコン膜55を成膜する。この時、既述のようにアモルファスシリコン膜55の膜厚を調整することで、アモルファスシリコン膜55の凹部56の開口幅P3と、アモルファスシリコン膜55の側壁幅P4との比が11:5となるまでアモルファスシリコン膜55を成膜する(図13B)。成膜後のアモルファスシリコン膜55の膜厚は、例えば100nmであって良い。 Next, for example, SiH 4 gas is supplied as a processing gas to the wafer W, and the wafer W is heated to a temperature of 300 ° C. or lower, for example, 100 ° C., and a film formation process by thermal CVD is performed to form an amorphous silicon film 55. . At this time, by adjusting the thickness of the amorphous silicon film 55 as described above, the ratio of the opening width P3 of the recess 56 of the amorphous silicon film 55 to the side wall width P4 of the amorphous silicon film 55 is 11: 5. The amorphous silicon film 55 is formed until this is reached (FIG. 13B). The film thickness of the amorphous silicon film 55 after film formation may be 100 nm, for example.
 続いて、ウェハWに処理ガスとして例えばOガスとHBrガスとを供給し、これらの処理ガスをプラズマ化して、レジストマスク51の表面が露出するまでアモルファスシリコン膜55を下方に向けて異方性エッチングする。このエッチングにより、図13Cに示すように、ライン52の両側壁に一組の堆積物57(57a、57b)が形成され、隣り合う2つの組57、57の間には下層のレジストマスク41が露出する。また、この堆積物57a(57b)の幅P6は、アモルファスシリコン膜55の側壁幅P4にほぼ等しく、開口幅P5は、アモルファスシリコン膜55の凹部56の開口幅P3にほぼ等しくなる。すなわち、開口幅P5と堆積物57a(57b)の幅P6との比が11:5となる。 Subsequently, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the amorphous silicon film 55 is anisotropically directed downward until the surface of the resist mask 51 is exposed. Etching. By this etching, as shown in FIG. 13C, a set of deposits 57 (57a, 57b) is formed on both side walls of the line 52, and the lower resist mask 41 is formed between the two adjacent sets 57, 57. Exposed. The width P6 of the deposit 57a (57b) is substantially equal to the sidewall width P4 of the amorphous silicon film 55, and the opening width P5 is substantially equal to the opening width P3 of the recess 56 of the amorphous silicon film 55. That is, the ratio between the opening width P5 and the width P6 of the deposit 57a (57b) is 11: 5.
 その後、処理ガスとして例えばCFガス、CHFガス、Arガス、Oガス、CHガス及びFガスをウェハWに供給する。そして、これらの処理ガスをプラズマ化し、レジストマスク51を除去する(図14A)。 Thereafter, for example, CF 4 gas, CHF 3 gas, Ar gas, O 2 gas, CH 2 F 2 gas, and F 2 gas are supplied to the wafer W as processing gases. Then, these processing gases are turned into plasma, and the resist mask 51 is removed (FIG. 14A).
 次いで、処理ガスとして例えばOガス及びArガスをウェハWに供給し、これらの処理ガスをプラズマ化して堆積物57a、57bをマスクとしてレジストマスク41をエッチングする(図14B)。このエッチングにより、堆積物57a、57b間にSiN膜40が露出する。 Next, for example, O 2 gas and Ar gas are supplied to the wafer W as processing gases, these processing gases are turned into plasma, and the resist mask 41 is etched using the deposits 57a and 57b as a mask (FIG. 14B). By this etching, the SiN film 40 is exposed between the deposits 57a and 57b.
 その後、処理ガスとして例えばOガスとHBrガスとをウェハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜55から形成された堆積物57a,57bを除去する(図14C)。 Thereafter, for example, O 2 gas and HBr gas are supplied to the wafer W as processing gases, and these processing gases are turned into plasma to remove the deposits 57a and 57b formed from the amorphous silicon film 55 (FIG. 14C).
 以上のダブルパターン形成工程により、レジストマスク41には既述の図11に示すライン42と溝43とからなる第3のマスクパターン44が形成され、このライン42の幅N1が約100nmとなり、溝43の開口幅N2が220nmとなるので、幅N1と開口幅N2との比は5:11となる。また、この第3のマスクパターン44のパターンの数がレジストマスク51に形成されたパターンの数の2倍になる。 Through the above double pattern formation process, the resist mask 41 is formed with the third mask pattern 44 including the line 42 and the groove 43 shown in FIG. 11 described above, and the width N1 of the line 42 is about 100 nm. Since the opening width N2 of 43 is 220 nm, the ratio of the width N1 to the opening width N2 is 5:11. Further, the number of patterns of the third mask pattern 44 is twice the number of patterns formed on the resist mask 51.
 その後、このウェハWに対して既述のように3回のダブルパターン形成工程を行うことにより、SiN膜22にパターン30が形成される。パターン30に形成されるライン28及び溝29の数は、第4のマスクパターン54のライン52及び溝53の数の16倍となる。 Thereafter, a pattern 30 is formed on the SiN film 22 by performing the double pattern forming process three times on the wafer W as described above. The number of lines 28 and grooves 29 formed in the pattern 30 is 16 times the number of lines 52 and grooves 53 of the fourth mask pattern 54.
 この第3の実施の形態によれば、既述の多層構造を有するウェハWにパターン30を形成するにあたり、ライン52の幅P1と溝53の開口幅P2との比が11:21となるようにレジストマスク51の第4のマスクパターン54を形成すると共に、開口幅P5と堆積物57a(57b)の幅P6との比が11:5となるようにアモルファスシリコン膜55を成膜している。従って、このウェハWに対してダブルパターン形成工程を4回行うことにより、パターン30におけるライン28及び溝29の数を第4のマスクパターン54のライン52及び溝53の数の16倍に増やすことができ、そのため極めて微細な寸法のパターン30を形成することができる。 According to the third embodiment, when the pattern 30 is formed on the wafer W having the multilayer structure described above, the ratio of the width P1 of the line 52 to the opening width P2 of the groove 53 is 11:21. In addition, the fourth mask pattern 54 of the resist mask 51 is formed, and the amorphous silicon film 55 is formed so that the ratio of the opening width P5 to the width P6 of the deposit 57a (57b) is 11: 5. . Therefore, the number of lines 28 and grooves 29 in the pattern 30 is increased to 16 times the number of lines 52 and grooves 53 in the fourth mask pattern 54 by performing the double pattern forming process four times on the wafer W. Therefore, the pattern 30 having extremely fine dimensions can be formed.
 尚、既述の第1の実施の形態の変形例1、2において説明したように、レジストマスク41を無機膜により形成するようにしても良い。 As described in the first and second modifications of the first embodiment, the resist mask 41 may be formed of an inorganic film.
 (第4の実施の形態:パターンの2n倍化)
 上記の各例にて説明したように、ウェハWの積層膜数を増やすと共にダブルパターン形成工程を2回、3回、4回行うことにより、パターン30の数をウェハWの表層に形成されていたパターン(25、44、54)の数の4(22)倍、8(23)倍、16(24)倍に増やすことができる。このことから、ダブルパターン形成工程を更に5回、6回、、、、、(n-1)回、n(n:5以上の正数)回行うことによって、パターン30の数をウェハWの表層のパターンの数の32(25)倍、64(26)倍、、、2n-1倍、2n倍に増やすことができると考えられる。そこで、このようにダブルパターン形成工程を繰り返すにあたり、ウェハWの表層のレジストマスク60に形成する第nのマスクパターン61(25、44、54)のライン62(26、42、52)の寸法及び溝63(27、43、53)の寸法の設定方法について、図15及び図16を参照して説明する。
(Fourth embodiment: 2n multiplication of a pattern)
As explained in each of the above examples, the number of the patterns 30 is formed on the surface layer of the wafer W by increasing the number of laminated films of the wafer W and performing the double pattern forming process twice, three times, and four times. The number of patterns (25, 44, 54) can be increased to 4 (22) times, 8 (23) times, and 16 (24) times. From this, the double pattern forming process is further performed 5 times, 6 times,..., (N−1) times, and n (n: a positive number of 5 or more) times, thereby reducing the number of patterns 30 on the wafer W. It is considered that the number of surface layer patterns can be increased to 32 (25) times, 64 (26) times, 2n-1 times, and 2n times. Therefore, in repeating the double pattern formation process in this way, the dimension of the line 62 (26, 42, 52) of the nth mask pattern 61 (25, 44, 54) formed on the resist mask 60 on the surface layer of the wafer W and A method for setting the dimensions of the grooves 63 (27, 43, 53) will be described with reference to FIGS.
 図15は、最上段に、エッチング対象のSiN膜22と、このSiN膜22に形成するパターン30とを示し、下方の段ほど、SiN膜22にパターン30を形成するに要するダブルパターン形成工程の回数が多いことを示している。各段は、ダブルパターン形成工程の回数に対応した、ウェハWの表層に形成される最初のレジストマスク60を模式的に示している。この場合において、図示を省略しているが、ダブルパターン形成工程をn回繰り返す場合には、SiN膜22上には(n+1)層の膜が積層されている。 FIG. 15 shows the SiN film 22 to be etched and the pattern 30 to be formed on the SiN film 22 at the uppermost stage, and the double pattern formation process required to form the pattern 30 on the SiN film 22 at the lower stage. It shows that there are many times. Each stage schematically shows the first resist mask 60 formed on the surface layer of the wafer W corresponding to the number of double pattern forming steps. In this case, although not shown, when the double pattern forming step is repeated n times, (n + 1) layers of films are stacked on the SiN film 22.
 図15及び図16から、上から2段目に示すライン62の幅は最上段の溝29の開口幅とほぼ等しく、上から2段目に示す溝63の幅は(最上段のライン28の幅×2+最上段の溝29の開口幅)にほぼ等しいことが分かる。また、上から2段目のライン62の側壁に形成される堆積物の幅は、最上段のライン28の幅とほぼ等しいことが分かる。そこで、このような計算を順次行っていくことにより、ダブルパターン形成工程をn回繰り返してパターン30を2n倍化する時に必要なマスクパターン61の寸法及び堆積物の寸法が算出され、従ってその算出結果に基づいて上記のダブルパターン形成工程を繰り返していくことにより、ウェハWの表面に形成されたパターン61の数の2n倍の数のパターン30を形成することができる。尚、ウェハWに最終的にパターン30を形成する膜としては、SiN膜22以外にも、SiO膜などの無機膜であっても良い。 15 and 16, the width of the line 62 shown in the second stage from the top is almost equal to the opening width of the uppermost groove 29, and the width of the groove 63 shown in the second stage from the upper side is (the uppermost line 28). It can be seen that the width x 2 + the opening width of the uppermost groove 29 is substantially equal. It can also be seen that the width of the deposit formed on the side wall of the second line 62 from the top is substantially equal to the width of the uppermost line 28. Therefore, by sequentially performing such calculation, the size of the mask pattern 61 and the size of the deposit required when the pattern 30 is multiplied by 2n by repeating the double pattern forming process n times are calculated. By repeating the above double pattern forming step based on the result, the number of patterns 30 that is 2n times the number of patterns 61 formed on the surface of the wafer W can be formed. In addition to the SiN film 22, the film that finally forms the pattern 30 on the wafer W may be an inorganic film such as a SiO 2 film.
 尚、既述の第1の実施の形態において説明したように、パターン30を4倍化するときの溝27÷ライン26の比が0.6となり、最も1.0に近づくことから(図16参照)、フォトリソグラフィによりこのマスクパターン61(24)を容易に形成できることが分かる。 As described in the first embodiment, the ratio of groove 27 / line 26 when the pattern 30 is quadrupled is 0.6, which is the closest to 1.0 (FIG. 16). It can be seen that the mask pattern 61 (24) can be easily formed by photolithography.
 なお、上記の実施の形態において、便宜的にSiN膜22に形成されるパターン30の寸法が一定であり、ダブルパターン形成工程の回数が増える毎にウェハWの表面のマスクパターン61(25、44、54)の寸法が大きくなるように説明したが、実際にはライン62(26、42、52)の寸法と溝63(27、43、53)の寸法とを既述の比率に保ちつつ、このマスクパターン61のラインと溝の密度をKrFエキシマレーザやArFエキシマレーザにより容易に形成可能な密度に設定することで、これらのレーザを用いた露光装置における露光限界を超えた、極めて寸法の小さいパターン30をSiN膜22に形成することができる。 In the above embodiment, for convenience, the dimension of the pattern 30 formed on the SiN film 22 is constant, and the mask pattern 61 (25, 44) on the surface of the wafer W is increased each time the number of double pattern forming steps is increased. , 54) has been described so that the dimension of the line 62 (26, 42, 52) and the dimension of the groove 63 (27, 43, 53) are maintained at the above-described ratio, By setting the density of the lines and grooves of the mask pattern 61 to a density that can be easily formed by a KrF excimer laser or an ArF excimer laser, the dimensions are extremely small, exceeding the exposure limit in an exposure apparatus using these lasers. The pattern 30 can be formed on the SiN film 22.
 続いて、上述の半導体装置の製造方法を実施する半導体製造装置の一例について図17を参照しながら説明する。この半導体製造装置は、第1の基板搬送手段81aを備えたローダモジュールである第1の搬送室81と、ロードロック室82,82と、第2の基板搬送手段83aを備えた真空搬送室モジュールである第2の搬送室83と、を備えている。第1の搬送室81の手前側には、内部に複数枚のウェハWが収納された密閉型のキャリアCを載置するためのロードポート85が複数箇所例えば3カ所に設けられており、またこの第1の搬送室81の側面には、ウェハWの向きや偏心の調整を行うアライメント室86が接続されている。 Subsequently, an example of a semiconductor manufacturing apparatus that performs the above-described semiconductor device manufacturing method will be described with reference to FIG. This semiconductor manufacturing apparatus includes a first transfer chamber 81, which is a loader module including a first substrate transfer means 81a, load lock chambers 82 and 82, and a vacuum transfer chamber module including a second substrate transfer means 83a. And a second transfer chamber 83. On the front side of the first transfer chamber 81, load ports 85 for mounting a sealed carrier C in which a plurality of wafers W are housed are provided in a plurality of places, for example, three places. An alignment chamber 86 for adjusting the orientation and eccentricity of the wafer W is connected to the side surface of the first transfer chamber 81.
 第2の搬送室83には、熱CVDによる成膜処理を行う成膜モジュール87,87と、プラズマエッチング処理を行うエッチングモジュール88,88と、が気密に接続されている。この成膜モジュール87は、内部にウェハWを載置する載置台と、このウェハWを例えば300℃以下に加熱する加熱部、成膜モジュール87内に既述のアモルファスシリコン膜を成膜するための処理ガス例えばSiHガスを供給する供給ユニット及び真空排気ユニット(いずれも図示せず)を備えている。また、エッチングモジュール88は、例えば平行平板型のプラズマエッチング装置であり、ウェハWを載置する載置台及びその載置台の上方に対向するように設けられたガスシャワーヘッドを兼用する上部電極、このガスシャワーヘッドを介してウェハWに既述のエッチング用の処理ガスを供給する供給部、真空排気ユニット及び処理ガスをプラズマ化するための高周波供給源(いずれも図示せず)を備え、エッチングモジュール88内にガスシャワーヘッドから処理ガスを供給し、載置台と上部電極との間に高周波を印加して処理ガスをプラズマ化することによって、既述のプラズマエッチングが行われるように構成されている。図中Gはゲートバルブ、GTはゲートドアである。 In the second transfer chamber 83, film forming modules 87 and 87 for performing film forming processing by thermal CVD and etching modules 88 and 88 for performing plasma etching processing are airtightly connected. The film forming module 87 has a mounting table on which the wafer W is mounted, a heating unit for heating the wafer W to, for example, 300 ° C. or less, and the amorphous silicon film described above is formed in the film forming module 87. A supply unit for supplying a processing gas such as SiH 4 gas and an evacuation unit (both not shown) are provided. Further, the etching module 88 is, for example, a parallel plate type plasma etching apparatus, a mounting table on which the wafer W is mounted, and an upper electrode that also serves as a gas shower head provided so as to face the mounting table. An etching module comprising a supply unit for supplying the processing gas for etching described above to the wafer W via the gas shower head, a vacuum exhaust unit, and a high-frequency supply source (none of which is shown) for converting the processing gas into plasma. The plasma etching described above is performed by supplying a processing gas from the gas shower head 88 to the inside 88 and applying a high frequency between the mounting table and the upper electrode to convert the processing gas into plasma. . In the figure, G is a gate valve, and GT is a gate door.
 この半導体製造装置には、例えばコンピュータからなる制御手段である制御部80Aが設けられている。この制御部80Aは図示しないプログラム、CPU及びメモリを備えており、前記プログラムには制御部80Aから半導体製造装置の各部に制御信号を送り、ウェハの搬送及び処理を進行させるように命令(各ステップ)が組み込まれている。また、例えばメモリには各モジュールの処理圧力、処理温度、処理時間、ガス流量または電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの半導体製造装置80の各部に送られることになる。このプログラム(処理パラメータの入力操作や表示に関するプログラムも含む)は、例えばフレキシブルディスク、コンパクトディスク、ハードディスク、MO(光磁気ディスク)などのコンピュータ記憶媒体である記憶部80Bに格納されて制御部80Aにインストールされる。 This semiconductor manufacturing apparatus is provided with a control unit 80A which is a control means composed of, for example, a computer. The control unit 80A includes a program, a CPU, and a memory (not shown). The control unit 80A sends a control signal from the control unit 80A to each unit of the semiconductor manufacturing apparatus, and commands (steps) to advance wafer transfer and processing. ) Is incorporated. Further, for example, the memory includes an area in which values of processing parameters such as processing pressure, processing temperature, processing time, gas flow rate or power value of each module are written, and when the CPU executes each instruction of the program, The processing parameter is read out, and a control signal corresponding to the parameter value is sent to each part of the semiconductor manufacturing apparatus 80. This program (including programs related to processing parameter input operations and display) is stored in the storage unit 80B, which is a computer storage medium such as a flexible disk, compact disk, hard disk, or MO (magneto-optical disk), and is stored in the control unit 80A. Installed.
 次に、この半導体製造装置におけるウェハWの流れについて簡単に説明する。先ず、キャリアCをロードポート85に載置して、キャリアC内のウェハWを第1の基板搬送手段81aにより第1の搬送室81を介してロードロック室82に搬送する。そして、第2の基板搬送手段83aによりロードロック室82を介してウェハWを第2の搬送室83内に搬入する。そして、この第2の搬送室83を介して成膜モジュール87及びエッチングモジュール88に上記の処理の流れに合わせて順次ウェハWを搬送し、成膜モジュール87においては上述の実施形態の各アモルファスシリコン膜の成膜処理を行い、エッチングモジュール88においては各エッチング処理を行う。各処理の終了後、ウェハWは搬入された順序と逆の順序でキャリアCに戻される。 Next, the flow of the wafer W in this semiconductor manufacturing apparatus will be briefly described. First, the carrier C is placed on the load port 85, and the wafer W in the carrier C is transferred to the load lock chamber 82 via the first transfer chamber 81 by the first substrate transfer means 81a. Then, the wafer W is loaded into the second transfer chamber 83 via the load lock chamber 82 by the second substrate transfer means 83a. Then, the wafers W are sequentially transferred to the film forming module 87 and the etching module 88 through the second transfer chamber 83 in accordance with the above-described processing flow. In the film forming module 87, each amorphous silicon according to the above-described embodiment is transferred. A film forming process is performed, and each etching process is performed in the etching module 88. After completion of each process, the wafer W is returned to the carrier C in the reverse order of the carried-in order.
 本国際出願は2008年2月15日に出願された日本国特許出願2008-035161号に基づく優先権を主張するものであり、2008-035161号の全内容をここに援用する。 This international application claims priority based on Japanese Patent Application No. 2008-035161, filed on Feb. 15, 2008, the entire contents of which are incorporated herein by reference.

Claims (4)

  1.  基板上の膜にプラズマエッチングにより多数の平行なラインからなるパターンを形成するパターン形成方法において、
     下段側から被エッチング膜及び犠牲膜が積層された基板を用いて、前記犠牲膜の上に多数のラインからなる第1のマスクパターンを前記ラインの幅と前記ラインの間隔寸法との比が3:5となるように形成する工程と、
     前記第1のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記犠牲膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第1のマスクパターンのラインの幅の1/3の幅となる前記薄膜からなる堆積物を形成する工程と、
     前記ラインを除去して前記堆積物を残し、当該堆積物をマスクとして前記犠牲膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該犠牲膜に多数のラインからなる第2のマスクパターンを形成する工程と、
     前記第2のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記被エッチング膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第2のマスクパターンのラインの幅と同じ幅となる前記薄膜からなる堆積物を形成する工程と、
     前記第2のマスクパターンにおけるラインを除去して前記薄膜を残し、当該堆積物をマスクとして前記被エッチング膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該被エッチング膜に多数のラインからなるパターンを形成する工程と、を含むパターン形成方法。
    In a pattern formation method for forming a pattern consisting of a large number of parallel lines by plasma etching on a film on a substrate,
    Using a substrate in which a film to be etched and a sacrificial film are stacked from the lower side, a first mask pattern consisting of a large number of lines is formed on the sacrificial film so that the ratio of the line width to the line spacing dimension is 3 : A step of forming to be 5,
    After forming a thin film on the surface of the first mask pattern, anisotropic etching of the thin film is performed until the sacrificial film is exposed by plasma, and the lines of the first mask pattern are formed on both side walls of the line. Forming a deposit made of the thin film having a width of 1/3 of the width of
    The line is removed to leave the deposit, the sacrificial film is etched by plasma using the deposit as a mask, and further the deposit is removed, whereby a second mask having a large number of lines in the sacrificial film is obtained. Forming a pattern;
    After forming a thin film on the surface of the second mask pattern, anisotropic etching of the thin film is performed until the film to be etched is exposed by plasma, and the second mask pattern is formed on both side walls of the line. Forming a deposit comprising the thin film having the same width as the line;
    Lines in the second mask pattern are removed to leave the thin film, and the film to be etched is etched with plasma using the deposit as a mask, and further, the deposit is removed. Forming a pattern comprising lines.
  2.  前記第1のマスクパターンは有機物を含むフォトレジストマスクにより形成され、前記犠牲膜は有機物を含む反射防止膜である、請求項1に記載のパターン形成方法。 2. The pattern forming method according to claim 1, wherein the first mask pattern is formed by a photoresist mask containing an organic substance, and the sacrificial film is an antireflection film containing an organic substance.
  3.  基板を収納したキャリアが載置され、このキャリア内の基板のロード、アンロードが行われるローダモジュールと、
     このローダモジュールを介して基板が搬入される真空搬送室モジュールと、
     前記真空搬送室モジュールを介して搬入される基板に成膜処理を行う成膜モジュールと、
     前記真空搬送室モジュールを介して搬入される基板にエッチング処理を行うエッチングモジュールと、
     前記搬送室、ローダモジュール、成膜モジュール及びエッチングモジュール間で基板を搬送する基板搬送手段と、
     請求項1に記載のパターン形成方法を実施するように前記基板搬送手段の動作を制御する制御手段と、を備える半導体製造装置。
    A loader module on which a carrier containing a substrate is placed, and a substrate in the carrier is loaded and unloaded;
    A vacuum transfer chamber module into which the substrate is transferred via the loader module;
    A film forming module for performing a film forming process on a substrate carried in via the vacuum transfer chamber module;
    An etching module for performing an etching process on a substrate carried in via the vacuum transfer chamber module;
    Substrate transfer means for transferring a substrate between the transfer chamber, the loader module, the film forming module and the etching module;
    A semiconductor manufacturing apparatus comprising: control means for controlling the operation of the substrate transfer means so as to carry out the pattern forming method according to claim 1.
  4.  コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
     前記コンピュータプログラムは、請求項1に記載のパターン形成方法を実施するようにステップ群が組まれている記憶媒体。
    A storage medium storing a computer program that runs on a computer,
    The said computer program is a storage medium in which the step group was assembled so that the pattern formation method of Claim 1 might be implemented.
PCT/JP2009/051802 2008-02-15 2009-02-03 Pattern forming method, semiconductor manufacturing apparatus and storage medium WO2009101878A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008-035161 2008-02-15
JP2008035161A JP2009194248A (en) 2008-02-15 2008-02-15 Pattern forming method, semiconductor manufacturing apparatus and storage medium

Publications (1)

Publication Number Publication Date
WO2009101878A1 true WO2009101878A1 (en) 2009-08-20

Family

ID=40956907

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/051802 WO2009101878A1 (en) 2008-02-15 2009-02-03 Pattern forming method, semiconductor manufacturing apparatus and storage medium

Country Status (3)

Country Link
JP (1) JP2009194248A (en)
TW (1) TW201001493A (en)
WO (1) WO2009101878A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011056529A3 (en) * 2009-10-26 2011-12-08 Sandisk 3D, Llc Apparatus and methods of forming memory lines and structures using double sidewall patterning for four times half pitch relief patterning
WO2012036205A1 (en) * 2010-09-14 2012-03-22 株式会社ニコン Pattern formation method, and device production method
EP3007208A1 (en) 2014-10-07 2016-04-13 Tokyo Electron Limited Method of processing target object
US9859126B2 (en) 2015-04-27 2018-01-02 Tokyo Electron Limited Method for processing target object

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8048616B2 (en) * 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101660433B1 (en) 2010-07-29 2016-09-27 삼성전자 주식회사 Semiconductor devices having vertical channel transistor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130631A (en) * 1993-11-05 1995-05-19 Sanyo Electric Co Ltd Pattern formation and manufacture of semiconductor storage device utilizing same
JPH07326562A (en) * 1994-06-01 1995-12-12 Ryoden Semiconductor Syst Eng Kk Method for forming fine pattern
JP2000011858A (en) * 1998-06-22 2000-01-14 Yamaha Corp Manufacture of field emission type element
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030127426A1 (en) * 2002-01-07 2003-07-10 Macronix International Co., Ltd. Method for pitch reduction
JP2006041486A (en) * 2004-07-29 2006-02-09 Hynix Semiconductor Inc Manufacturing method of semiconductor device using amorphous carbon film as sacrifice hard mask
JP2006261307A (en) * 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method
JP2006286932A (en) * 2005-03-31 2006-10-19 Toshiba Corp Manufacturing method for semiconductor device
JP2007096214A (en) * 2005-09-30 2007-04-12 Elpida Memory Inc Manufacturing method for semiconductor device
JP2007188925A (en) * 2006-01-11 2007-07-26 Tokyo Electron Ltd Substrate processing method
JP2008072101A (en) * 2006-09-12 2008-03-27 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130631A (en) * 1993-11-05 1995-05-19 Sanyo Electric Co Ltd Pattern formation and manufacture of semiconductor storage device utilizing same
JPH07326562A (en) * 1994-06-01 1995-12-12 Ryoden Semiconductor Syst Eng Kk Method for forming fine pattern
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2000011858A (en) * 1998-06-22 2000-01-14 Yamaha Corp Manufacture of field emission type element
US20030127426A1 (en) * 2002-01-07 2003-07-10 Macronix International Co., Ltd. Method for pitch reduction
JP2006041486A (en) * 2004-07-29 2006-02-09 Hynix Semiconductor Inc Manufacturing method of semiconductor device using amorphous carbon film as sacrifice hard mask
JP2006261307A (en) * 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method
JP2006286932A (en) * 2005-03-31 2006-10-19 Toshiba Corp Manufacturing method for semiconductor device
JP2007096214A (en) * 2005-09-30 2007-04-12 Elpida Memory Inc Manufacturing method for semiconductor device
JP2007188925A (en) * 2006-01-11 2007-07-26 Tokyo Electron Ltd Substrate processing method
JP2008072101A (en) * 2006-09-12 2008-03-27 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011056529A3 (en) * 2009-10-26 2011-12-08 Sandisk 3D, Llc Apparatus and methods of forming memory lines and structures using double sidewall patterning for four times half pitch relief patterning
WO2011056534A3 (en) * 2009-10-26 2011-12-15 Sandisk 3D, Llc Methods of forming pillars for memory cells using sequential sidewall patterning
US8969923B2 (en) 2009-10-26 2015-03-03 Sandisk 3D Llc Methods and apparatus for layout of three dimensional matrix array memory for reduced cost patterning
US8809128B2 (en) 2009-10-26 2014-08-19 Sandisk 3D Llc Methods and apparatus for layout of three dimensional matrix array memory for reduced cost patterning
US8679967B2 (en) 2009-10-26 2014-03-25 Sandisk 3D Llc Apparatus and methods of forming memory lines and structures using double sidewall patterning for four times half pitch relief patterning
US8741696B2 (en) 2009-10-26 2014-06-03 Sandisk 3D Llc Methods of forming pillars for memory cells using sequential sidewall patterning
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
JP2012064939A (en) * 2010-09-14 2012-03-29 Nikon Corp Pattern formation method and device manufacturing method
WO2012036205A1 (en) * 2010-09-14 2012-03-22 株式会社ニコン Pattern formation method, and device production method
EP3007208A1 (en) 2014-10-07 2016-04-13 Tokyo Electron Limited Method of processing target object
KR20160041778A (en) 2014-10-07 2016-04-18 도쿄엘렉트론가부시키가이샤 Method of processing target object
US9911607B2 (en) 2014-10-07 2018-03-06 Tokyo Electron Limited Method of processing target object
US9859126B2 (en) 2015-04-27 2018-01-02 Tokyo Electron Limited Method for processing target object

Also Published As

Publication number Publication date
TW201001493A (en) 2010-01-01
JP2009194248A (en) 2009-08-27

Similar Documents

Publication Publication Date Title
WO2009101878A1 (en) Pattern forming method, semiconductor manufacturing apparatus and storage medium
TWI687977B (en) Semiconductor device and method for manufacturing the same
US8637406B1 (en) Image transfer process employing a hard mask layer
TWI505323B (en) Self-aligned pillar patterning using multiple spacer masks
US8673544B2 (en) Method of forming openings
US8524605B1 (en) Fabrication and mask design methods using spatial frequency sextupling technique
JP5315689B2 (en) Pattern forming method, semiconductor manufacturing apparatus, and storage medium
US7575992B2 (en) Method of forming micro patterns in semiconductor devices
JP2004080033A (en) Method of micropattern formation using silicon oxide film
JP5690882B2 (en) Double exposure patterning with carbonaceous hard mask
US20010036732A1 (en) Method of manufacturing semiconductor device having minute gate electrodes
KR20080099995A (en) Method for manufacturing of flash memory device
KR20160008499A (en) Plasma etching method and plasma etching device
JP2009027146A (en) Frequency tripling using spacer mask having interposed regions
CN113614880A (en) Multi-space patterning scheme
JP4756063B2 (en) Manufacturing method of semiconductor device
TW202109618A (en) Patterning method for semiconductor devices
US10074557B2 (en) Pattern forming method
CN111640657B (en) Semiconductor device and method of forming the same
KR20150109466A (en) Method for manufacturing semiconductor
JP4095588B2 (en) Method for defining a minimum pitch that exceeds photolithographic resolution in an integrated circuit
JP2008091720A (en) Method for manufacturing semiconductor device
JP2003282700A (en) Hole forming method
US20240087892A1 (en) Double Patterning Method of Patterning a Substrate
KR20220158033A (en) A Method for Reducing Microbridge Defects in EUV Patterning for Microelectronic Workpieces

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09710470

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09710470

Country of ref document: EP

Kind code of ref document: A1