WO2009120552A2 - Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor - Google Patents

Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor Download PDF

Info

Publication number
WO2009120552A2
WO2009120552A2 PCT/US2009/037520 US2009037520W WO2009120552A2 WO 2009120552 A2 WO2009120552 A2 WO 2009120552A2 US 2009037520 W US2009037520 W US 2009037520W WO 2009120552 A2 WO2009120552 A2 WO 2009120552A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing chamber
semiconductor layer
transfer chamber
chamber
Prior art date
Application number
PCT/US2009/037520
Other languages
French (fr)
Other versions
WO2009120552A3 (en
Inventor
Yan Ye
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2009120552A2 publication Critical patent/WO2009120552A2/en
Publication of WO2009120552A3 publication Critical patent/WO2009120552A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Definitions

  • Embodiments of the present invention generally relate to an integrated processing system that may be used for thin film transistor (TFT) fabrication.
  • TFT thin film transistor
  • TFT arrays may be used in liquid crystal active matrix displays of the kind often employed for computer and television flat panels.
  • the liquid crystal active matrix displays may also contain light emitting diodes for back lighting.
  • organic light emitting diodes OLEDs
  • these OLEDs may utilize TFTs for addressing the activity of the displays.
  • the TFT arrays may be created on a flat substrate.
  • the substrate may be a semiconductor substrate, or may be a transparent substrate such as glass, quartz, sapphire, a clear plastic film, or a sheet or metal.
  • a gate dielectric layer overlies a gate electrode, and semiconductor active areas overlie the gate dielectric layer.
  • a passivation dielectric overlies the upper surface of the semiconductor areas and source and drain electrodes, to electrically isolate the semiconductor and electrodes from the ambient surrounding the upper surface of the TFT device.
  • the above described TFT is a bottom gate TFT structure.
  • Top gate TFT structures comprise source and drain electrodes and the semiconductor layer beneath the gate dielectric layer and the gate electrode in terms of process sequencing.
  • the present invention generally relates to an integrated processing system and process sequence that may be used for TFT fabrication.
  • TFTs In fabricating TFTs, numerous processes may be performed on a substrate to ultimately produce the desired TFT. These processes may be performed in numerous processing chambers that may be coupled to a common transfer chamber. The arrangement of the processing chambers and the sequence in which the substrate may pass through the processing chambers may affect the device performance. By placing specific processing chambers around a common transfer chamber, multiple processes may be performed without undue exposure of the TFT to atmosphere. Alternatively, by passing the substrate sequentially through specific processing chambers, multiple processes may be performed without undue exposure of the TFT to atmosphere.
  • a thin film transistor fabrication method may comprise disposing a first substrate into a first processing chamber.
  • the first substrate may have a gate electrode disposed thereon.
  • the method may also comprise depositing a gate dielectric layer over the first substrate and withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto.
  • the method may also comprise disposing the first substrate into a second processing chamber coupled with the transfer chamber and depositing a semiconductor layer over the gate dielectric layer.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the method may also comprise withdrawing the first substrate from the second processing chamber into the transfer chamber and disposing the first substrate into a third processing chamber coupled with the transfer chamber.
  • the method may additionally comprise depositing an etch stop layer on the semiconductor layer.
  • the substrate may be disposed into a heating chamber for thermal annealing.
  • the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures.
  • the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited or after the etch stop layer has been deposited.
  • thin film transistor fabrication method may comprise disposing a first substrate into a first processing chamber.
  • the first substrate may have a gate electrode disposed thereon.
  • the method may also comprise depositing a gate dielectric layer over the first substrate and withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto.
  • the method may also comprise disposing the first substrate into a second processing chamber coupled with the transfer chamber and depositing a semiconductor layer over the gate dielectric layer.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the method may also comprise withdrawing the first substrate from the second processing chamber into the transfer chamber and disposing the first substrate into a third processing chamber coupled with the transfer chamber.
  • the method may additionally comprise depositing a conductive layer over the semiconductor layer.
  • the substrate may be disposed into a heating chamber for thermal annealing.
  • the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures.
  • the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited.
  • a thin film transistor fabrication method may comprise disposing a substrate into a first processing chamber.
  • the substrate may have a patterned semiconductor areas and a conductive layer disposed thereover with patterned photoresist on top of the conductive layer.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, gallium, tin, and cadmium.
  • the method may also comprise etching the conductive layer to expose at least a portion of the semiconductor layer, the etching defining a source electrode and drain electrode.
  • the method may also comprise removing the photoresist after the etching process.
  • the method may also comprise withdrawing the substrate from the first processing chamber into a transfer chamber coupled with the first processing chamber and disposing the substrate into a second processing chamber coupled with the transfer chamber.
  • the method may also comprise depositing a passivation layer over the patterned semiconductor active areas and source-drain electrodes. Annealing or plasma treatment may take place after the etch, after the photoresist removal, or after the passivation layer deposition.
  • a thin film transistor fabrication method may comprise disposing a substrate into a first processing chamber.
  • the substrate may have a semiconductor layer and a conductive layer disposed thereover with patterned photoresist on top of the conductive layer.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, gallium, tin, and cadmium.
  • the method may also comprise etching the conductive layer to expose at least a portion of the semiconductor layer, etching the semiconductor layer to define the semiconductor active area, etching source and drain electrodes, and removing the photoresist after the etching.
  • the method may also comprise withdrawing the substrate from the first processing chamber into a transfer chamber coupled with the first processing chamber and disposing the substrate into a second processing chamber coupled with the transfer chamber.
  • the method may also comprise etching the semiconductor layer and depositing a passivation layer over the source electrode, drain electrode, and semiconductor active area. Annealing and/or plasma treatment processes may occur after the etching, after the photoresist removal, and/or after the passivation layer deposition.
  • Figure 1 is a schematic view of an integrated processing system 100 according to one embodiment.
  • FIG. 2A is a schematic cross-sectional view of a TFT 200 that may be fabricated according to one embodiment of the invention.
  • FIG. 2B is a schematic cross-sectional view of a TFT 250 that may be fabricated according to another embodiment of the invention.
  • FIG. 3 is a flow chart 300 of a processing sequence for fabrication of a TFT according to one embodiment of the invention.
  • Figure 4 is a flow chart 400 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
  • FIG. 5 is a flow chart 500 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
  • the present invention generally relates to an integrated processing system and process sequence that may be used for TFT fabrication.
  • TFTs In fabricating TFTs, numerous processes may be performed on a substrate to ultimately produce the desired TFT. These processes may be performed in numerous processing chambers that may be coupled to a common transfer chamber. The arrangement of the processing chambers and the sequence in which the substrate may pass through the processing chambers may affect the device performance. By placing specific processing chambers around a common transfer chamber, multiple processes may be performed without undue exposure of the TFT to atmosphere. Alternatively, by passing the substrate sequentially through specific processing chambers, multiple processes may be performed without undue exposure of the TFT to atmosphere.
  • FIG. 1 is a schematic view of an integrated processing system 100 according to one embodiment.
  • the system 100 may comprise a transfer chamber 102 that has a robot 104 for transferring one or more substrates from a load lock 120 into the transfer chamber 102.
  • the robot 104 may have an end effector 106 for transferring one or more substrates thereon.
  • the robot 104 may extend into the load lock 120 to retrieve the substrates.
  • the robot 104 may rotate about an axis and extend into one or more chambers 108, 110, 112, 114, 116 to place substrates into the chambers 108, 110, 112, 114, 116 or retrieve the substrates from the chambers 108, 110, 112, 114, 116.
  • the substrates may be delivered to the system 100 through one or more Front Opening Unified Pods (FOUPs) 130 that are coupled with a factory interface 122.
  • FOUPs Front Opening Unified Pods
  • one or more robots 124 may be present.
  • the robots 124 have an end effector 126 for transferring substrates.
  • the robot 124 may extend into the FOUPs 130 to retrieve substrates therefrom and to place substrates therein.
  • the robot 104 may move within the factory interface 122 along a track 128 to permit the robot 124 to access each FOUP 130.
  • the robot 124 in the factory interface 122 may transfer a substrate from the FOUP 130 through the factory interface 122 and into a load lock 120.
  • the robot 124 in the factory interface 122 may transfer a substrate from a load lock 120 through the factory interface 122 and into a FOUP 130.
  • the robot 104 in the transfer chamber 102 may retrieve the substrate and transfer the substrate to any of the processing chambers 108, 110, 112, 114, 116. Additionally, the robot 104 in the transfer chamber 102 may transfer the substrate between any of the processing chambers 108, 110, 112, 114, 116.
  • various processing chambers may be utilized such as a chemical vapor deposition (CVD) chamber, an annealing chamber, a physical vapor deposition (PVD) chamber, a dry etching chamber, or a treatment chamber.
  • FIG. 2A is a schematic cross-sectional view of a TFT 200 that may be fabricated according to one embodiment of the invention.
  • the TFT 200 comprises a substrate 202.
  • the substrate 202 may comprise glass.
  • the substrate 202 may comprise a polymer.
  • the substrate 202 may comprise plastic.
  • the substrate 202 may comprise metal.
  • a gate electrode layer may be deposited.
  • the gate electrode layer may be patterned to form the gate electrode 204.
  • the gate electrode 204 may comprise an electrically conductive material that controls the movement of charge carriers within the TFT 200.
  • the gate electrode 204 may comprise a metal such as aluminum, tungsten, chromium, molybdenum, tantalum, or combinations thereof.
  • the gate electrode 204 may be formed using conventional techniques including sputtering, lithography, and etching.
  • the gate electrode layer may be deposited by a well known deposition process such as sputtering, chemical vapor deposition (CVD), atomic layer deposition (ALD), etc.
  • a photoresist layer may be deposited thereon, exposed, and developed to form a mask.
  • the gate electrode layer may be etched using the mask to form the gate electrode 204. The mask may then be removed.
  • a gate dielectric layer 206 may be deposited over the gate electrode 204.
  • the gate dielectric layer 206 may comprise silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof.
  • the gate dielectric layer 206 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • the active layer 208 may be formed. In one embodiment, the active layer 208 is annealed. In another embodiment, the active layer 208 is exposed to a plasma treatment. The annealing and/or plasma treatment may increase the mobility of the active layer 208.
  • the active layer 208 may comprise the compound having one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the element may comprise an element having a filled d orbital.
  • the element may comprise an element having a filled f orbital.
  • the active layer 208 may also comprise oxygen and nitrogen.
  • the compound may be doped. Suitable dopants that may be used include Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, Si x N y , AI x O y , and SiC.
  • the dopant comprises aluminum.
  • the active layer 208 may comprise oxygen and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the active layer 208 may be deposited by reactive sputtering.
  • the reactive sputtering method may be practiced in a physical vapor deposition (PVD) chamber for processing large area substrates, such as a 4300 PVD chamber, available from AKT America, Inc., a subsidiary of Applied Materials, Inc., Santa Clara, California.
  • PVD physical vapor deposition
  • the active layer produced according to the method may be determined by the structure and composition, it should be understood that the reactive sputtering method may have utility in other system configurations, including those systems configured to process large area round substrates and those systems produced by other manufacturers, including roll-to-roll process platforms. It is to be understood that other methods including CVD, ALD, or spin-on processes may be utilized to deposit the active layer 208.
  • the sputtering target When deposited by sputtering, for example, the sputtering target may comprise the metal of the active layer 208. Then, a nitrogen containing gas and an oxygen containing gas may be introduced into the processing chamber to react with the sputtered metal and deposit on the gate dielectric layer 206 as the active layer 208. Examples of suitable nitrogen containing gases include N 2 , N 2 O, and combinations thereof.
  • a conductive metal layer may be deposited and patterned to form a source electrode 210A and a drain electrode 210A. In one embodiment, the active layer 208 may be patterned along with the source electrode 210A and drain electrode 210B.
  • the conductive metal layer may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof.
  • a display electrode 212 may then be deposited over the gate dielectric layer 206.
  • the display electrode 212 may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof.
  • a passivation layer 214 may then be deposited over the TFT 200.
  • the passivation layer 214 may be deposited to a thickness between about 1000 Angstroms to about 5000 Angstroms.
  • the passivation layer 214 may comprise silicon oxide or silicon nitride.
  • FIG. 2B is a schematic cross-sectional view of a TFT 250 that may be fabricated according to another embodiment of the invention.
  • the TFT 250 is similar to TFT 200 shown in Figure 2A.
  • the TFT 250 comprises a substrate 252, gate electrode 254, gate dielectric 256, active layer 258, source electrode 260A, drain electrode 260B, display electrode 262, and passivation layer 264.
  • an etch stop 266 is deposited and patterned prior to deposition and patterning of the source electrode 260A and drain electrode 260B.
  • the etch stop 266 may be formed by blanket depositing followed by photoresist depositing, followed by pattern developing.
  • the etch stop 266 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD) and spin-on coating.
  • PECVD plasma enhanced chemical vapor deposition
  • the etch stop 266 may be patterned by plasma etching using one or more gases selected from the group consisting of fluorine such as CF 4 , C 2 F 6 , CHF 3 , C 4 F 6 , oxygen, nitrogen, inert gases such as Ar, or combinations thereof.
  • the etch stop layer 266 may comprise silicon nitride.
  • the etch stop layer 266 may comprise silicon oxynitride.
  • the etch stop layer 266 may comprise silicon oxide.
  • the etch stop 266 may be pattern deposited utilizing a mask.
  • a metal layer may be deposited thereover.
  • the metal layer may then be patterned to define the source and drain electrodes 260A, 260B.
  • the metal layer may be patterned by depositing a photolithographic mask thereon and etching utilizing the mask.
  • the metal layer may be etched utilizing a plasma etch.
  • the plasma etching may comprise exposing the metal layer to a plasma containing a gas having an element selected from the group consisting of chlorine, oxygen, fluorine, or combinations thereof.
  • the active layer 258 that is not covered by the etch stop 266 may be exposed to the plasma, but the active layer 258 over the gate electrode 254 may not be exposed to the plasma due to the presence of the etch stop 266.
  • the active layer 258 exposed to the plasma may etch at a slower rate than the metal layer when exposed to the plasma. In one embodiment, the active layer 258 may not etch at all when exposed to the plasma.
  • the etch stop 266 and the source and drain electrodes 260A, 260B may be used as a mask during wet or dry etching of the active layer 258.
  • the etch stop 266 and the source and drain electrodes 260A, 260B etch at a slower rate than the active layer 258 when exposed to the wet etchant.
  • the etch stop 266 and the source and drain electrodes 260A, 260B may not etch at all when exposed to the wet etchant. Hence, no additional mask layer needs to be deposited and patterned to perform the etching.
  • the source and drain electrodes 260A, 260B as well as the etch stop 266 function as a mask when etching the exposed active layer 258.
  • the wet etchant may comprise any conventional wet etchant that may etch the effective for etching the active layer 258 without etching the etch stop 266 and the source and drain electrodes 260A, 260B.
  • the etchant could be an acid with pH less than 3 or base with pH higher than 10. For example, diluted HCI or liquid used for photoresist development.
  • Figure 3 is a flow chart 300 of a processing sequence for fabrication of a TFT according to one embodiment of the invention.
  • a substrate having a gate electrode formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber.
  • a robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 302).
  • the first processing chamber may comprise a CVD processing chamber.
  • the transfer chamber may remain under vacuum during the process.
  • the transfer chamber vacuum level may be adjusted to match the vacuum level of the processing chamber. For example, when transferring a substrate from a transfer chamber into a CVD chamber (or vice versa), the transfer chamber and CVD chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to a PVD chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the PVD chamber even through the vacuum level of the PVD chamber and the CVD chamber may be different. Thus, the vacuum level of the transfer chamber may be adjusted.
  • a gate dielectric layer may be deposited on the substrate over both the substrate and the gate electrode (Step 304).
  • the substrate having a gate electrode and gate dielectric layer thereover may then be removed from the CVD processing chamber (Step 306).
  • the vacuum level of the transfer chamber may be adjusted to meet the vacuum level of the second processing chamber. Once the vacuum levels are substantially identical, the substrate having a gate electrode and gate dielectric layer thereon may be inserted into a second processing chamber (Step 308).
  • the second processing chamber may deposit a semiconductor (or active) layer over the gate dielectric layer (Step 310).
  • the semiconductor layer may be deposited by reactive sputtering in a PVD chamber.
  • both a CVD processing chamber and a PVD processing chamber may be directly coupled to a common transfer chamber.
  • the substrate may be removed from the PVD processing chamber (Step 312).
  • the transfer chamber vacuum level may then be adjusted to substantially match the vacuum level of the third processing chamber. Once the vacuum level of the transfer chamber and the third processing chamber are substantially identical, the substrate may be placed into the third processing chamber (Step 314).
  • an etch stop layer may be deposited (Step 316).
  • the etch stop layer may be deposited by CVD.
  • More processing chambers may be attached to the common transfer chamber for additional processes to be performed on the substrate.
  • additional CVD chambers for gate dielectric deposition, additional PVD chambers for semiconductor layer deposition, and/or additional CVD chambers for etch stop layer deposition may be attached to the common transfer chamber.
  • the substrate may be removed from the CVD processing chamber into the transfer chamber.
  • the substrate may then be passed through a load lock into a factory interface and finally into a FOUP where the substrate may remain until further processing.
  • a gate dielectric layer, a semiconductor layer, and an etch stop layer may be deposited over a substrate having a gate electrode formed thereon without breaking vacuum.
  • the substrate may be disposed into a heating chamber for thermal annealing.
  • the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures.
  • the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited or after the etch stop layer has been deposited.
  • FIG. 4 is a flow chart 400 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
  • a substrate having a gate electrode formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber.
  • a robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 402).
  • the first processing chamber may comprise a CVD processing chamber.
  • the transfer chamber may remain under vacuum during the process.
  • the transfer chamber vacuum level may be adjusted to match the vacuum level of the processing chamber. For example, when transferring a substrate from a transfer chamber into a CVD chamber (or vice versa), the transfer chamber and CVD chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to a PVD chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the PVD chamber even through the vacuum level of the PVD chamber and the CVD chamber may be different. Thus, the vacuum level of the transfer chamber may be adjusted.
  • a gate dielectric layer may be deposited on the substrate over both the substrate and the gate electrode (Step 404).
  • the substrate having a gate electrode and gate dielectric layer thereover may then be removed from the CVD processing chamber (Step 406).
  • the vacuum level of the transfer chamber may be adjusted to meet the vacuum level of the second processing chamber. Once the vacuum levels are substantially identical, the substrate having a gate electrode and gate dielectric layer thereon may be inserted into a second processing chamber (Step 408).
  • the second processing chamber may deposit a semiconductor (or active) layer over the gate dielectric layer (Step 410).
  • the semiconductor layer may be deposited by reactive sputtering in a PVD chamber.
  • both a CVD processing chamber and a PVD processing chamber may be directly coupled to a common transfer chamber.
  • the substrate may be removed from the PVD processing chamber (Step 412).
  • the transfer chamber vacuum level may then be adjusted to substantially match the vacuum level of the third processing chamber. Once the vacuum level of the transfer chamber and the third processing chamber are substantially identical, the substrate may be placed into the third processing chamber (Step 414).
  • a conductive metal layer may be deposited directly on the semiconductor layer (Step 416).
  • the conductive metal layer may eventually be patterned to form source and drain electrodes.
  • the metal layer may be deposited by PVD.
  • More processing chambers may be attached to the common transfer chamber for additional processes to be performed on the substrate. Alternatively, to ensure a maximum substrate throughput, additional CVD chambers for gate dielectric deposition, additional PVD chambers for semiconductor layer deposition, and/or additional PVD chambers for conductive metal layer deposition may be attached to the common transfer chamber.
  • the substrate may be removed from the CVD processing chamber into the transfer chamber.
  • the substrate may then be passed through a load lock into a factory interface and finally into a FOUP where the substrate may remain until further processing.
  • a gate dielectric layer, a semiconductor layer, and a conductive metal layer may be deposited over a substrate having a gate electrode formed thereon without breaking vacuum.
  • the substrate may be disposed into a heating chamber for thermal annealing.
  • the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures.
  • the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited has been deposited.
  • FIG. 5 is a flow chart 500 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
  • a substrate having a gate electrode, gate dielectric layer, semiconductor layer, and conductive metal layer formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber.
  • a robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 502).
  • the first processing chamber may be an etching chamber.
  • the substrate may have a photoresist mask formed thereon. Alternatively, the substrate may be disposed into one or more chambers for photoresist deposition and patterning prior to insertion into the etching chamber.
  • the conductive metal layer may then be etched (Step 504) using the photoresist mask to pattern the conductive metal layer into source and drain electrodes.
  • the conductive metal layer is etched to form a display electrode on the gate dielectric layer.
  • the substrate may then be removed from the etching chamber (Step 506).
  • the remaining photoresist may be removed in the etching chamber.
  • the substrate may be placed into another processing chamber to strip the photoresist mask from the substrate.
  • the substrate may then be placed into a second processing chamber (Step 508). In the second processing chamber, the semiconductor layer may be etched using the source and drain electrodes as a mask (Step 510).
  • the semiconductor layer may have been previously etched. Therefore, after the source and drain electrodes are defined, a passivation layer may be deposited over the source and drain electrodes, the active layer, any exposed portion of the gate dielectric layer, and the display electrode.
  • the substrate may be disposed into a heating chamber for thermal annealing.
  • the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures.
  • the heating and/or plasma treatment may occur after the etching.

Abstract

The present invention generally relates to an integrated processing system and process sequence that may be used for thin film transistor (TFT) fabrication. In fabricating TFTs, numerous processes may be performed on a substrate to ultimately produce the desired TFT. These processes may be performed in numerous processing chambers that may be coupled to a common transfer chamber. The arrangement of the processing chambers and the sequence in which the substrate may pass through the processing chambers may affect the device performance. By placing specific processing chambers around a common transfer chamber, multiple processes may be performed without undue exposure of the TFT to atmosphere. Alternatively, by passing the substrate sequentially through specific processing chambers, multiple processes may be performed without undue exposure of the TFT to atmosphere.

Description

INTEGRATED PROCESS SYSTEM AND PROCESS SEQUENCE FOR
PRODUCTION OF THIN FILM TRANSISTOR ARRAYS USING DOPED OR
COMPOUNDED METAL OXIDE SEMICONDUCTOR
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the present invention generally relate to an integrated processing system that may be used for thin film transistor (TFT) fabrication.
Description of the Related Art
[0002] Current interest in TFT arrays is particularly high because these devices may be used in liquid crystal active matrix displays of the kind often employed for computer and television flat panels. The liquid crystal active matrix displays may also contain light emitting diodes for back lighting. Further, organic light emitting diodes (OLEDs) have been used for active matrix displays, and these OLEDs may utilize TFTs for addressing the activity of the displays.
[0003] The TFT arrays may be created on a flat substrate. The substrate may be a semiconductor substrate, or may be a transparent substrate such as glass, quartz, sapphire, a clear plastic film, or a sheet or metal. A gate dielectric layer overlies a gate electrode, and semiconductor active areas overlie the gate dielectric layer. A passivation dielectric overlies the upper surface of the semiconductor areas and source and drain electrodes, to electrically isolate the semiconductor and electrodes from the ambient surrounding the upper surface of the TFT device. The above described TFT is a bottom gate TFT structure. Top gate TFT structures comprise source and drain electrodes and the semiconductor layer beneath the gate dielectric layer and the gate electrode in terms of process sequencing.
[0004] Performing multiple processes on a substrate to fabricate the TFT may necessitate utilizing multiple processing chambers. There is a need in the art for a processing system capable of TFT fabrication utilizing multiple processing chambers based on the need of the process and TFT device performance. SUMMARY OF THE INVENTION
[0005] The present invention generally relates to an integrated processing system and process sequence that may be used for TFT fabrication. In fabricating TFTs, numerous processes may be performed on a substrate to ultimately produce the desired TFT. These processes may be performed in numerous processing chambers that may be coupled to a common transfer chamber. The arrangement of the processing chambers and the sequence in which the substrate may pass through the processing chambers may affect the device performance. By placing specific processing chambers around a common transfer chamber, multiple processes may be performed without undue exposure of the TFT to atmosphere. Alternatively, by passing the substrate sequentially through specific processing chambers, multiple processes may be performed without undue exposure of the TFT to atmosphere.
[0006] In one embodiment, a thin film transistor fabrication method is disclosed. The method may comprise disposing a first substrate into a first processing chamber. The first substrate may have a gate electrode disposed thereon. The method may also comprise depositing a gate dielectric layer over the first substrate and withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto. The method may also comprise disposing the first substrate into a second processing chamber coupled with the transfer chamber and depositing a semiconductor layer over the gate dielectric layer. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium. The method may also comprise withdrawing the first substrate from the second processing chamber into the transfer chamber and disposing the first substrate into a third processing chamber coupled with the transfer chamber. The method may additionally comprise depositing an etch stop layer on the semiconductor layer. After depositing the semiconductor layer, the substrate may be disposed into a heating chamber for thermal annealing. In one embodiment, the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures. In one embodiment, the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited or after the etch stop layer has been deposited.
[0007] In another embodiment, thin film transistor fabrication method is disclosed. The method may comprise disposing a first substrate into a first processing chamber. The first substrate may have a gate electrode disposed thereon. The method may also comprise depositing a gate dielectric layer over the first substrate and withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto. The method may also comprise disposing the first substrate into a second processing chamber coupled with the transfer chamber and depositing a semiconductor layer over the gate dielectric layer. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium. The method may also comprise withdrawing the first substrate from the second processing chamber into the transfer chamber and disposing the first substrate into a third processing chamber coupled with the transfer chamber. The method may additionally comprise depositing a conductive layer over the semiconductor layer. After depositing the semiconductor layer, the substrate may be disposed into a heating chamber for thermal annealing. In one embodiment, the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures. In one embodiment, the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited.
[0008] In another embodiment, a thin film transistor fabrication method is disclosed. The method may comprise disposing a substrate into a first processing chamber. The substrate may have a patterned semiconductor areas and a conductive layer disposed thereover with patterned photoresist on top of the conductive layer. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, gallium, tin, and cadmium. The method may also comprise etching the conductive layer to expose at least a portion of the semiconductor layer, the etching defining a source electrode and drain electrode. The method may also comprise removing the photoresist after the etching process. The method may also comprise withdrawing the substrate from the first processing chamber into a transfer chamber coupled with the first processing chamber and disposing the substrate into a second processing chamber coupled with the transfer chamber. The method may also comprise depositing a passivation layer over the patterned semiconductor active areas and source-drain electrodes. Annealing or plasma treatment may take place after the etch, after the photoresist removal, or after the passivation layer deposition.
[0009] In another embodiment, a thin film transistor fabrication method is disclosed. The method may comprise disposing a substrate into a first processing chamber. The substrate may have a semiconductor layer and a conductive layer disposed thereover with patterned photoresist on top of the conductive layer. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, gallium, tin, and cadmium. The method may also comprise etching the conductive layer to expose at least a portion of the semiconductor layer, etching the semiconductor layer to define the semiconductor active area, etching source and drain electrodes, and removing the photoresist after the etching. The method may also comprise withdrawing the substrate from the first processing chamber into a transfer chamber coupled with the first processing chamber and disposing the substrate into a second processing chamber coupled with the transfer chamber. The method may also comprise etching the semiconductor layer and depositing a passivation layer over the source electrode, drain electrode, and semiconductor active area. Annealing and/or plasma treatment processes may occur after the etching, after the photoresist removal, and/or after the passivation layer deposition.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0011] Figure 1 is a schematic view of an integrated processing system 100 according to one embodiment.
[0012] Figure 2A is a schematic cross-sectional view of a TFT 200 that may be fabricated according to one embodiment of the invention.
[0013] Figure 2B is a schematic cross-sectional view of a TFT 250 that may be fabricated according to another embodiment of the invention.
[0014] Figure 3 is a flow chart 300 of a processing sequence for fabrication of a TFT according to one embodiment of the invention.
[0015] Figure 4 is a flow chart 400 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
[0016] Figure 5 is a flow chart 500 of a processing sequence for fabrication of a TFT according to another embodiment of the invention.
[0017] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0018] The present invention generally relates to an integrated processing system and process sequence that may be used for TFT fabrication. In fabricating TFTs, numerous processes may be performed on a substrate to ultimately produce the desired TFT. These processes may be performed in numerous processing chambers that may be coupled to a common transfer chamber. The arrangement of the processing chambers and the sequence in which the substrate may pass through the processing chambers may affect the device performance. By placing specific processing chambers around a common transfer chamber, multiple processes may be performed without undue exposure of the TFT to atmosphere. Alternatively, by passing the substrate sequentially through specific processing chambers, multiple processes may be performed without undue exposure of the TFT to atmosphere.
[0019] Figure 1 is a schematic view of an integrated processing system 100 according to one embodiment. The system 100 may comprise a transfer chamber 102 that has a robot 104 for transferring one or more substrates from a load lock 120 into the transfer chamber 102. The robot 104 may have an end effector 106 for transferring one or more substrates thereon. The robot 104 may extend into the load lock 120 to retrieve the substrates. The robot 104 may rotate about an axis and extend into one or more chambers 108, 110, 112, 114, 116 to place substrates into the chambers 108, 110, 112, 114, 116 or retrieve the substrates from the chambers 108, 110, 112, 114, 116.
[0020] The substrates may be delivered to the system 100 through one or more Front Opening Unified Pods (FOUPs) 130 that are coupled with a factory interface 122. Within the factory interface 122, one or more robots 124 may be present. The robots 124 have an end effector 126 for transferring substrates. The robot 124 may extend into the FOUPs 130 to retrieve substrates therefrom and to place substrates therein. The robot 104 may move within the factory interface 122 along a track 128 to permit the robot 124 to access each FOUP 130.
[0021] The robot 124 in the factory interface 122 may transfer a substrate from the FOUP 130 through the factory interface 122 and into a load lock 120. Alternatively, the robot 124 in the factory interface 122 may transfer a substrate from a load lock 120 through the factory interface 122 and into a FOUP 130.
[0022] Once the substrate is in the load lock 120, the robot 104 in the transfer chamber 102 may retrieve the substrate and transfer the substrate to any of the processing chambers 108, 110, 112, 114, 116. Additionally, the robot 104 in the transfer chamber 102 may transfer the substrate between any of the processing chambers 108, 110, 112, 114, 116. To fabricate TFTs, various processing chambers may be utilized such as a chemical vapor deposition (CVD) chamber, an annealing chamber, a physical vapor deposition (PVD) chamber, a dry etching chamber, or a treatment chamber.
[0023] Figure 2A is a schematic cross-sectional view of a TFT 200 that may be fabricated according to one embodiment of the invention. The TFT 200 comprises a substrate 202. In one embodiment, the substrate 202 may comprise glass. In another embodiment, the substrate 202 may comprise a polymer. In another embodiment, the substrate 202 may comprise plastic. In another embodiment, the substrate 202 may comprise metal.
[0024] Over the substrate 202, a gate electrode layer may be deposited. The gate electrode layer may be patterned to form the gate electrode 204. The gate electrode 204 may comprise an electrically conductive material that controls the movement of charge carriers within the TFT 200. The gate electrode 204 may comprise a metal such as aluminum, tungsten, chromium, molybdenum, tantalum, or combinations thereof. The gate electrode 204 may be formed using conventional techniques including sputtering, lithography, and etching. For example, the gate electrode layer may be deposited by a well known deposition process such as sputtering, chemical vapor deposition (CVD), atomic layer deposition (ALD), etc. Thereafter, a photoresist layer may be deposited thereon, exposed, and developed to form a mask. Then, the gate electrode layer may be etched using the mask to form the gate electrode 204. The mask may then be removed.
[0025] A gate dielectric layer 206 may be deposited over the gate electrode 204. The gate dielectric layer 206 may comprise silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof. The gate dielectric layer 206 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD). [0026] Over the gate dielectric layer 206, the active layer 208 may be formed. In one embodiment, the active layer 208 is annealed. In another embodiment, the active layer 208 is exposed to a plasma treatment. The annealing and/or plasma treatment may increase the mobility of the active layer 208. The active layer 208 may comprise the compound having one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium. In one embodiment, the element may comprise an element having a filled d orbital. In another embodiment, the element may comprise an element having a filled f orbital. The active layer 208 may also comprise oxygen and nitrogen. In one embodiment, the compound may be doped. Suitable dopants that may be used include Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, SixNy, AIxOy, and SiC. In one embodiment, the dopant comprises aluminum. In one embodiment, the active layer 208 may comprise oxygen and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
[0027] The active layer 208 may be deposited by reactive sputtering. The reactive sputtering method may be practiced in a physical vapor deposition (PVD) chamber for processing large area substrates, such as a 4300 PVD chamber, available from AKT America, Inc., a subsidiary of Applied Materials, Inc., Santa Clara, California. However, because the active layer produced according to the method may be determined by the structure and composition, it should be understood that the reactive sputtering method may have utility in other system configurations, including those systems configured to process large area round substrates and those systems produced by other manufacturers, including roll-to-roll process platforms. It is to be understood that other methods including CVD, ALD, or spin-on processes may be utilized to deposit the active layer 208. When deposited by sputtering, for example, the sputtering target may comprise the metal of the active layer 208. Then, a nitrogen containing gas and an oxygen containing gas may be introduced into the processing chamber to react with the sputtered metal and deposit on the gate dielectric layer 206 as the active layer 208. Examples of suitable nitrogen containing gases include N2, N2O, and combinations thereof. [0028] Once the active layer 208 has been deposited, a conductive metal layer may be deposited and patterned to form a source electrode 210A and a drain electrode 210A. In one embodiment, the active layer 208 may be patterned along with the source electrode 210A and drain electrode 210B. In one embodiment, the conductive metal layer may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof.
[0029] A display electrode 212 may then be deposited over the gate dielectric layer 206. The display electrode 212 may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof. A passivation layer 214 may then be deposited over the TFT 200. The passivation layer 214 may be deposited to a thickness between about 1000 Angstroms to about 5000 Angstroms. In one embodiment, the passivation layer 214 may comprise silicon oxide or silicon nitride.
[0030] Figure 2B is a schematic cross-sectional view of a TFT 250 that may be fabricated according to another embodiment of the invention. The TFT 250 is similar to TFT 200 shown in Figure 2A. The TFT 250 comprises a substrate 252, gate electrode 254, gate dielectric 256, active layer 258, source electrode 260A, drain electrode 260B, display electrode 262, and passivation layer 264. However, over the active layer 258, an etch stop 266 is deposited and patterned prior to deposition and patterning of the source electrode 260A and drain electrode 260B.
[0031] The etch stop 266 may be formed by blanket depositing followed by photoresist depositing, followed by pattern developing. In one embodiment, the etch stop 266 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD) and spin-on coating. The etch stop 266 may be patterned by plasma etching using one or more gases selected from the group consisting of fluorine such as CF4, C2F6, CHF3, C4F6, oxygen, nitrogen, inert gases such as Ar, or combinations thereof. In one embodiment, the etch stop layer 266 may comprise silicon nitride. In another embodiment, the etch stop layer 266 may comprise silicon oxynitride. In still another embodiment, the etch stop layer 266 may comprise silicon oxide. In one embodiment, the etch stop 266 may be pattern deposited utilizing a mask.
[0032] Following fabrication of the etch stop 266, a metal layer may be deposited thereover. The metal layer may then be patterned to define the source and drain electrodes 260A, 260B. The metal layer may be patterned by depositing a photolithographic mask thereon and etching utilizing the mask. The metal layer may be etched utilizing a plasma etch. In one embodiment, the plasma etching may comprise exposing the metal layer to a plasma containing a gas having an element selected from the group consisting of chlorine, oxygen, fluorine, or combinations thereof. During the etching, the active layer 258 that is not covered by the etch stop 266 may be exposed to the plasma, but the active layer 258 over the gate electrode 254 may not be exposed to the plasma due to the presence of the etch stop 266. The active layer 258 exposed to the plasma may etch at a slower rate than the metal layer when exposed to the plasma. In one embodiment, the active layer 258 may not etch at all when exposed to the plasma.
[0033] After the plasma etching, the etch stop 266 and the source and drain electrodes 260A, 260B may be used as a mask during wet or dry etching of the active layer 258. The etch stop 266 and the source and drain electrodes 260A, 260B etch at a slower rate than the active layer 258 when exposed to the wet etchant. In one embodiment, the etch stop 266 and the source and drain electrodes 260A, 260B may not etch at all when exposed to the wet etchant. Hence, no additional mask layer needs to be deposited and patterned to perform the etching. The source and drain electrodes 260A, 260B as well as the etch stop 266 function as a mask when etching the exposed active layer 258. The wet etchant may comprise any conventional wet etchant that may etch the effective for etching the active layer 258 without etching the etch stop 266 and the source and drain electrodes 260A, 260B. The etchant could be an acid with pH less than 3 or base with pH higher than 10. For example, diluted HCI or liquid used for photoresist development. [0034] Figure 3 is a flow chart 300 of a processing sequence for fabrication of a TFT according to one embodiment of the invention. A substrate having a gate electrode formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber. A robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 302). In one embodiment, the first processing chamber may comprise a CVD processing chamber.
[0035] The transfer chamber may remain under vacuum during the process. The transfer chamber vacuum level may be adjusted to match the vacuum level of the processing chamber. For example, when transferring a substrate from a transfer chamber into a CVD chamber (or vice versa), the transfer chamber and CVD chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to a PVD chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the PVD chamber even through the vacuum level of the PVD chamber and the CVD chamber may be different. Thus, the vacuum level of the transfer chamber may be adjusted.
[0036] Within the CVD processing chamber, a gate dielectric layer may be deposited on the substrate over both the substrate and the gate electrode (Step 304). The substrate having a gate electrode and gate dielectric layer thereover may then be removed from the CVD processing chamber (Step 306). The vacuum level of the transfer chamber may be adjusted to meet the vacuum level of the second processing chamber. Once the vacuum levels are substantially identical, the substrate having a gate electrode and gate dielectric layer thereon may be inserted into a second processing chamber (Step 308).
[0037] The second processing chamber may deposit a semiconductor (or active) layer over the gate dielectric layer (Step 310). In one embodiment, the semiconductor layer may be deposited by reactive sputtering in a PVD chamber. Thus, both a CVD processing chamber and a PVD processing chamber may be directly coupled to a common transfer chamber. [0038] After the semiconductor layer is deposited on the substrate over the gate dielectric layer, the substrate may be removed from the PVD processing chamber (Step 312). The transfer chamber vacuum level may then be adjusted to substantially match the vacuum level of the third processing chamber. Once the vacuum level of the transfer chamber and the third processing chamber are substantially identical, the substrate may be placed into the third processing chamber (Step 314).
[0039] In the third processing chamber, an etch stop layer may be deposited (Step 316). The etch stop layer may be deposited by CVD. More processing chambers may be attached to the common transfer chamber for additional processes to be performed on the substrate. Alternatively, to ensure a maximum substrate throughput, additional CVD chambers for gate dielectric deposition, additional PVD chambers for semiconductor layer deposition, and/or additional CVD chambers for etch stop layer deposition may be attached to the common transfer chamber.
[0040] Following deposition of the etch stop layer, the substrate may be removed from the CVD processing chamber into the transfer chamber. The substrate may then be passed through a load lock into a factory interface and finally into a FOUP where the substrate may remain until further processing. Thus, a gate dielectric layer, a semiconductor layer, and an etch stop layer may be deposited over a substrate having a gate electrode formed thereon without breaking vacuum.
[0041] After depositing the semiconductor layer, the substrate may be disposed into a heating chamber for thermal annealing. In one embodiment, the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures. In one embodiment, the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited or after the etch stop layer has been deposited.
[0042] Figure 4 is a flow chart 400 of a processing sequence for fabrication of a TFT according to another embodiment of the invention. A substrate having a gate electrode formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber. A robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 402). In one embodiment, the first processing chamber may comprise a CVD processing chamber.
[0043] Similar to the embodiment discussed above in relation to Figure 3, the transfer chamber may remain under vacuum during the process. The transfer chamber vacuum level may be adjusted to match the vacuum level of the processing chamber. For example, when transferring a substrate from a transfer chamber into a CVD chamber (or vice versa), the transfer chamber and CVD chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to a PVD chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the PVD chamber even through the vacuum level of the PVD chamber and the CVD chamber may be different. Thus, the vacuum level of the transfer chamber may be adjusted.
[0044] Within the CVD processing chamber, a gate dielectric layer may be deposited on the substrate over both the substrate and the gate electrode (Step 404). The substrate having a gate electrode and gate dielectric layer thereover may then be removed from the CVD processing chamber (Step 406). The vacuum level of the transfer chamber may be adjusted to meet the vacuum level of the second processing chamber. Once the vacuum levels are substantially identical, the substrate having a gate electrode and gate dielectric layer thereon may be inserted into a second processing chamber (Step 408).
[0045] The second processing chamber may deposit a semiconductor (or active) layer over the gate dielectric layer (Step 410). In one embodiment, the semiconductor layer may be deposited by reactive sputtering in a PVD chamber. Thus, both a CVD processing chamber and a PVD processing chamber may be directly coupled to a common transfer chamber. [0046] After the semiconductor layer is deposited on the substrate over the gate dielectric layer, the substrate may be removed from the PVD processing chamber (Step 412). The transfer chamber vacuum level may then be adjusted to substantially match the vacuum level of the third processing chamber. Once the vacuum level of the transfer chamber and the third processing chamber are substantially identical, the substrate may be placed into the third processing chamber (Step 414).
[0047] In the third processing chamber, a conductive metal layer may be deposited directly on the semiconductor layer (Step 416). The conductive metal layer may eventually be patterned to form source and drain electrodes. In one embodiment, the metal layer may be deposited by PVD. More processing chambers may be attached to the common transfer chamber for additional processes to be performed on the substrate. Alternatively, to ensure a maximum substrate throughput, additional CVD chambers for gate dielectric deposition, additional PVD chambers for semiconductor layer deposition, and/or additional PVD chambers for conductive metal layer deposition may be attached to the common transfer chamber.
[0048] Following deposition of the conductive metal layer, the substrate may be removed from the CVD processing chamber into the transfer chamber. The substrate may then be passed through a load lock into a factory interface and finally into a FOUP where the substrate may remain until further processing. Thus, a gate dielectric layer, a semiconductor layer, and a conductive metal layer may be deposited over a substrate having a gate electrode formed thereon without breaking vacuum.
[0049] After depositing the semiconductor layer, the substrate may be disposed into a heating chamber for thermal annealing. In one embodiment, the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures. In one embodiment, the heating and/or plasma treatment may occur after the gate dielectric layer has been deposited has been deposited.
[0050] Figure 5 is a flow chart 500 of a processing sequence for fabrication of a TFT according to another embodiment of the invention. A substrate having a gate electrode, gate dielectric layer, semiconductor layer, and conductive metal layer formed thereon is taken from a FOUP, passed through a factory interface by a robot and then inserted into a load lock chamber. A robot located in a transfer chamber retrieves the substrate from the load lock chamber and places the substrate into a first processing chamber (Step 502). The first processing chamber may be an etching chamber. The substrate may have a photoresist mask formed thereon. Alternatively, the substrate may be disposed into one or more chambers for photoresist deposition and patterning prior to insertion into the etching chamber.
[0051] The conductive metal layer may then be etched (Step 504) using the photoresist mask to pattern the conductive metal layer into source and drain electrodes. In one embodiment, the conductive metal layer is etched to form a display electrode on the gate dielectric layer. The substrate may then be removed from the etching chamber (Step 506). In one embodiment, the remaining photoresist may be removed in the etching chamber. In another embodiment, the substrate may be placed into another processing chamber to strip the photoresist mask from the substrate. The substrate may then be placed into a second processing chamber (Step 508). In the second processing chamber, the semiconductor layer may be etched using the source and drain electrodes as a mask (Step 510).
[0052] Alternatively, the semiconductor layer may have been previously etched. Therefore, after the source and drain electrodes are defined, a passivation layer may be deposited over the source and drain electrodes, the active layer, any exposed portion of the gate dielectric layer, and the display electrode.
[0053] The substrate may be disposed into a heating chamber for thermal annealing. In one embodiment, the substrate may be disposed into a plasma chamber for plasma treating the semiconductor layer under user defined temperatures. In one embodiment, the heating and/or plasma treatment may occur after the etching.
[0054] By coupling the necessary processing chambers to a common transfer chamber, multiple processing steps may be performed in a TFT fabrication process without breaking vacuum. Additionally, substrate throughput may be maximized by coupling additional processing chambers for slower processes to the transfer chamber so that the processing sequence may avoid bottlenecks in TFT processing.
[0055] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A thin film transistor fabrication method, comprising: disposing a first substrate into a first processing chamber, the first substrate having a gate electrode disposed thereon; depositing a gate dielectric layer over the first substrate using a plasma enhanced chemical vapor deposition process; withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto; disposing the first substrate into a second processing chamber coupled with the transfer chamber; depositing a semiconductor layer over the gate dielectric layer by a physical vapor deposition process, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium; withdrawing the first substrate from the second processing chamber into the transfer chamber; disposing the first substrate into a third processing chamber coupled with the transfer chamber; and depositing an etch stop layer on the semiconductor layer using a plasma enhanced chemical vapor deposition process.
2. The method of claim 1 , wherein the etch stop layer is selected from the group consisting of silicon nitride, silicon oxide, and combinations thereof.
3. The method of claim 1 , wherein the physical vapor deposition comprises: applying a DC electrical bias to a sputtering target comprising one or more elements selected from the group consisting of zinc, indium, cadmium, gallium, and tin; and introducing a nitrogen containing gas and an oxygen containing gas into the second processing chamber.
4. The method of claim 3, wherein the sputtering target comprises a dopant selected from the group consisting of aluminum, tin, gallium, calcium, silicon, titanium, copper, germanium, indium, nickel, chromium, vanadium, magnesium, and combinations thereof.
5. The method of claim 4, further comprising: disposing a second substrate into a fourth processing chamber, the second substrate having a gate electrode disposed thereon; depositing a gate dielectric layer over the second substrate; withdrawing the second substrate from the fourth processing chamber into the transfer chamber coupled thereto; disposing the second substrate into a fifth processing chamber coupled with the transfer chamber; depositing a semiconductor layer over the gate dielectric layer, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium; withdrawing the second substrate from the fifth processing chamber into the transfer chamber; disposing the second substrate into the third processing chamber coupled with the transfer chamber; and depositing an etch stop layer on the semiconductor layer.
6. The method of claim 1 , wherein the method is performed without breaking vacuum.
7. A thin film transistor fabrication method, comprising: disposing a first substrate into a first processing chamber, the first substrate having a gate electrode disposed thereon; depositing a gate dielectric layer over the first substrate; withdrawing the first substrate from the first processing chamber into a transfer chamber coupled thereto; disposing the first substrate into a second processing chamber coupled with the transfer chamber; depositing a semiconductor layer over the gate dielectric layer, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium; withdrawing the first substrate from the second processing chamber into the transfer chamber; disposing the first substrate into a third processing chamber coupled with the transfer chamber; and depositing a conductive layer over the semiconductor layer.
8. The method of claim 7, wherein the conductive layer is deposited by physical vapor deposition and wherein the semiconductor layer is deposited by physical vapor deposition.
9. The method of claim 8, wherein the physical vapor deposition for the semiconductor layer comprises: applying a DC electrical bias to a sputtering target comprising one or more elements selected from the group consisting of zinc, indium, cadmium, gallium, and tin; and introducing a nitrogen containing gas and an oxygen containing gas into the second processing chamber.
10. The method of claim 9, wherein the sputtering target comprises a dopant selected from the group consisting of aluminum, tin, gallium, calcium, silicon, titanium, copper, germanium, indium, nickel, chromium, vanadium, magnesium, and combinations thereof.
11. The method of claim 7, wherein the method is performed without breaking vacuum.
12. The method of claim 7, further comprising: disposing a second substrate into a fourth processing chamber, the second substrate having a gate electrode disposed thereon; depositing a gate dielectric layer over the second substrate; withdrawing the second substrate from the fourth processing chamber into the transfer chamber coupled thereto; disposing the second substrate into a fifth processing chamber coupled with the transfer chamber; depositing a semiconductor layer over the gate dielectric layer, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium; withdrawing the second substrate from the fifth processing chamber into the transfer chamber; disposing the second substrate into the third processing chamber coupled with the transfer chamber; and depositing a conductive layer over the semiconductor layer.
13. A thin film transistor fabrication method, comprising: disposing a substrate into a first processing chamber, the substrate having a semiconductor layer and a conductive layer disposed thereover, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, gallium, tin, and cadmium; etching the conductive layer to expose at least a portion of the semiconductor layer, the etching defining a source electrode and drain electrode; withdrawing the substrate from the first processing chamber into a transfer chamber coupled with the first processing chamber; disposing the substrate into a second processing chamber coupled with the transfer chamber; and etching the semiconductor layer.
14. The method of claim 13, wherein etching the conductive layer comprises plasma etching and wherein etching the semiconductor layer comprises wet etching.
15. The method of claim 13, further comprising: withdrawing the substrate from the second processing chamber into the transfer chamber; disposing the substrate into a third processing chamber; depositing a passivation layer over the source electrode, drain electrode, and semiconductor layer.
PCT/US2009/037520 2008-03-24 2009-03-18 Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor WO2009120552A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3899908P 2008-03-24 2008-03-24
US61/038,999 2008-03-24

Publications (2)

Publication Number Publication Date
WO2009120552A2 true WO2009120552A2 (en) 2009-10-01
WO2009120552A3 WO2009120552A3 (en) 2009-11-19

Family

ID=41089314

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/037520 WO2009120552A2 (en) 2008-03-24 2009-03-18 Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor

Country Status (3)

Country Link
US (1) US7879698B2 (en)
TW (1) TWI442574B (en)
WO (1) WO2009120552A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011056294A1 (en) * 2009-11-04 2011-05-12 Cbrite Inc. Mask level reduction for mofet
US20120235138A1 (en) * 2009-11-04 2012-09-20 Chan-Long Shieh Mask level reduction for mofet

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5222281B2 (en) * 2006-04-06 2013-06-26 アプライド マテリアルズ インコーポレイテッド Reactive sputtering of zinc oxide transparent conductive oxide on large area substrates
KR101536101B1 (en) * 2007-08-02 2015-07-13 어플라이드 머티어리얼스, 인코포레이티드 Thin film transistors using thin film semiconductor materials
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
US8143093B2 (en) * 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
JP4707749B2 (en) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 Substrate replacement method and substrate processing apparatus
JP5889791B2 (en) * 2009-09-24 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of manufacturing metal oxide or metal oxynitride TFT using wet process for source / drain metal etching
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
KR20120045178A (en) * 2010-10-29 2012-05-09 삼성전자주식회사 Thin film transistor and method of manufacturing the same
US20130078804A1 (en) * 2011-09-22 2013-03-28 Nanya Technology Corporation Method for fabricating integrated devices with reducted plasma damage
CN103500710B (en) * 2013-10-11 2015-11-25 京东方科技集团股份有限公司 A kind of thin-film transistor manufacture method, thin-film transistor and display device
US20170015599A1 (en) * 2014-02-28 2017-01-19 Nokia Technologies Oy Method and apparatus for oxidation of two-dimensional materials

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007150156A (en) * 2005-11-30 2007-06-14 Toppan Printing Co Ltd Transistor and method of manufacturing same

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4331737A (en) 1978-04-01 1982-05-25 Zaidan Hojin Handotai Kenkyu Shinkokai Oxynitride film and its manufacturing method
ZA849070B (en) 1983-12-07 1985-07-31 Energy Conversion Devices Inc Semiconducting multilayered structures and systems and methods for synthesizing the structures and devices incorporating the structures
US4769291A (en) 1987-02-02 1988-09-06 The Boc Group, Inc. Transparent coatings by reactive sputtering
US4816082A (en) 1987-08-19 1989-03-28 Energy Conversion Devices, Inc. Thin film solar cell including a spatially modulated intrinsic layer
FR2638527B1 (en) 1988-11-02 1991-02-01 Centre Nat Rech Scient GALLIUM NITRIDE AND OXYNITRIDES USEFUL AS SELECTIVE DETECTORS OF REDUCING GASES IN THE ATMOSPHERE, PROCESS FOR THEIR PREPARATION, AND DETECTION DEVICE CONTAINING THEM
CA2034118A1 (en) 1990-02-09 1991-08-10 Nang Tri Tran Solid state radiation detector
JP2999280B2 (en) 1991-02-22 2000-01-17 キヤノン株式会社 Photovoltaic element
JP2994812B2 (en) 1991-09-26 1999-12-27 キヤノン株式会社 Solar cell
US5346601A (en) 1993-05-11 1994-09-13 Andrew Barada Sputter coating collimator with integral reactive gas distribution
TW273067B (en) 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
JP3571785B2 (en) 1993-12-28 2004-09-29 キヤノン株式会社 Method and apparatus for forming deposited film
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5668663A (en) 1994-05-05 1997-09-16 Donnelly Corporation Electrochromic mirrors and devices
US5700699A (en) 1995-03-16 1997-12-23 Lg Electronics Inc. Method for fabricating a polycrystal silicon thin film transistor
JP3306258B2 (en) 1995-03-27 2002-07-24 三洋電機株式会社 Method for manufacturing semiconductor device
JP3169337B2 (en) 1995-05-30 2001-05-21 キヤノン株式会社 Photovoltaic element and method for manufacturing the same
US6969635B2 (en) 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US5716480A (en) 1995-07-13 1998-02-10 Canon Kabushiki Kaisha Photovoltaic device and method of manufacturing the same
JPH09129698A (en) 1995-10-30 1997-05-16 Kyocera Corp Semiconductor manufacturing equipment
US6153013A (en) 1996-02-16 2000-11-28 Canon Kabushiki Kaisha Deposited-film-forming apparatus
US6180870B1 (en) 1996-08-28 2001-01-30 Canon Kabushiki Kaisha Photovoltaic device
US6159763A (en) 1996-09-12 2000-12-12 Canon Kabushiki Kaisha Method and device for forming semiconductor thin film, and method and device for forming photovoltaic element
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
KR19990009046A (en) 1997-07-07 1999-02-05 윤종용 Luminescent Tools for Flat Panel Advertising Devices
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JP4208281B2 (en) 1998-02-26 2009-01-14 キヤノン株式会社 Multilayer photovoltaic device
TW410478B (en) 1998-05-29 2000-11-01 Lucent Technologies Inc Thin-film transistor monolithically integrated with an organic light-emitting diode
US6388301B1 (en) 1998-06-01 2002-05-14 Kaneka Corporation Silicon-based thin-film photoelectric device
US6488824B1 (en) 1998-11-06 2002-12-03 Raycom Technologies, Inc. Sputtering apparatus and process for high rate coatings
US7235810B1 (en) 1998-12-03 2007-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US20020084455A1 (en) 1999-03-30 2002-07-04 Jeffery T. Cheung Transparent and conductive zinc oxide film with low growth temperature
US6228236B1 (en) 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6953947B2 (en) 1999-12-31 2005-10-11 Lg Chem, Ltd. Organic thin film transistor
US6620719B1 (en) 2000-03-31 2003-09-16 International Business Machines Corporation Method of forming ohmic contacts using a self doping layer for thin-film transistors
KR100679917B1 (en) 2000-09-09 2007-02-07 엘지.필립스 엘시디 주식회사 Thin film transistor and the method of fabricating the same
AU2002235146A1 (en) 2000-11-30 2002-06-11 North Carolina State University Non-thermionic sputter material transport device, methods of use, and materials produced thereby
US6943359B2 (en) 2001-03-13 2005-09-13 University Of Utah Structured organic materials and devices using low-energy particle beams
JP4560245B2 (en) 2001-06-29 2010-10-13 キヤノン株式会社 Photovoltaic element
US20030049464A1 (en) 2001-09-04 2003-03-13 Afg Industries, Inc. Double silver low-emissivity and solar control coatings
US20030207093A1 (en) 2001-12-03 2003-11-06 Toshio Tsuji Transparent conductive layer forming method, transparent conductive layer formed by the method, and material comprising the layer
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7339187B2 (en) * 2002-05-21 2008-03-04 State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University Transistor structures
US7189992B2 (en) 2002-05-21 2007-03-13 State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University Transistor structures having a transparent channel
SG130013A1 (en) 2002-07-25 2007-03-20 Semiconductor Energy Lab Method of fabricating light emitting device
CA2512010C (en) 2002-12-31 2013-04-16 Cardinal Cg Company Coater having substrate cleaning device and coating deposition methods employing such coater
WO2004102677A1 (en) 2003-05-13 2004-11-25 Asahi Glass Company, Limited Transparent conductive substrate for solar battery and method for producing same
JP4108633B2 (en) * 2003-06-20 2008-06-25 シャープ株式会社 THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE
US20050017244A1 (en) 2003-07-25 2005-01-27 Randy Hoffman Semiconductor device
US7816863B2 (en) 2003-09-12 2010-10-19 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method for manufacturing the same
US7520790B2 (en) 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
JP4823478B2 (en) 2003-09-19 2011-11-24 株式会社半導体エネルギー研究所 Method for manufacturing light emitting device
US7026713B2 (en) 2003-12-17 2006-04-11 Hewlett-Packard Development Company, L.P. Transistor device having a delafossite material
US7145174B2 (en) 2004-03-12 2006-12-05 Hewlett-Packard Development Company, Lp. Semiconductor device
US7122398B1 (en) 2004-03-25 2006-10-17 Nanosolar, Inc. Manufacturing of optoelectronic devices
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
KR100881300B1 (en) 2004-04-27 2009-02-03 도요타 지도샤(주) Process for producing metal oxide particle and exhaust gas purifying catalyst
US7557367B2 (en) 2004-06-04 2009-07-07 The Board Of Trustees Of The University Of Illinois Stretchable semiconductor elements and stretchable electrical circuits
US7158208B2 (en) 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060011139A1 (en) 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
US7622338B2 (en) 2004-08-31 2009-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2006100760A (en) 2004-09-02 2006-04-13 Casio Comput Co Ltd Thin-film transistor and its manufacturing method
US7382421B2 (en) 2004-10-12 2008-06-03 Hewlett-Packard Development Company, L.P. Thin film transistor with a passivation layer
US7628896B2 (en) 2005-07-05 2009-12-08 Guardian Industries Corp. Coated article with transparent conductive oxide film doped to adjust Fermi level, and method of making same
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070030569A1 (en) 2005-08-04 2007-02-08 Guardian Industries Corp. Broad band antireflection coating and method of making same
US20070068571A1 (en) 2005-09-29 2007-03-29 Terra Solar Global Shunt Passivation Method for Amorphous Silicon Thin Film Photovoltaic Modules
US8435388B2 (en) * 2005-11-01 2013-05-07 Cardinal Cg Company Reactive sputter deposition processes and equipment
JP4714579B2 (en) * 2005-12-26 2011-06-29 セイコーインスツル株式会社 clock
US8372250B2 (en) 2007-07-23 2013-02-12 National Science And Technology Development Agency Gas-timing method for depositing oxynitride films by reactive R.F. magnetron sputtering

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007150156A (en) * 2005-11-30 2007-06-14 Toppan Printing Co Ltd Transistor and method of manufacturing same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011056294A1 (en) * 2009-11-04 2011-05-12 Cbrite Inc. Mask level reduction for mofet
EP2497107A1 (en) * 2009-11-04 2012-09-12 CBrite Inc. Mask level reduction for mofet
US20120235138A1 (en) * 2009-11-04 2012-09-20 Chan-Long Shieh Mask level reduction for mofet
EP2497107A4 (en) * 2009-11-04 2013-04-03 Cbrite Inc Mask level reduction for mofet
US9129868B2 (en) * 2009-11-04 2015-09-08 Cbrite Inc. Mask level reduction for MOFET

Also Published As

Publication number Publication date
TW200952182A (en) 2009-12-16
US7879698B2 (en) 2011-02-01
US20090239359A1 (en) 2009-09-24
WO2009120552A3 (en) 2009-11-19
TWI442574B (en) 2014-06-21

Similar Documents

Publication Publication Date Title
US7879698B2 (en) Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
US8143093B2 (en) Process to make metal oxide thin film transistor array with etch stopping layer
US8298879B2 (en) Methods of fabricating metal oxide or metal oxynitride TFTS using wet process for source-drain metal etch
CN105097951B (en) Gate dielectric layer treatment for making high performance metal oxide and metal oxynitride thin film transistors
US7910920B2 (en) Thin film transistor and method of forming the same
US20110263079A1 (en) Interface protection layaer used in a thin film transistor structure
US7674662B2 (en) Process for making thin film field effect transistors using zinc oxide
KR20070043393A (en) Method for crystalizing armophous silicon and method of manufacturing thin film transistor using the same
US20230395616A1 (en) Method of manufacturing array substrate, array substrate, and display device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09726042

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09726042

Country of ref document: EP

Kind code of ref document: A2