WO2010005957A1 - Illumination optimization - Google Patents

Illumination optimization Download PDF

Info

Publication number
WO2010005957A1
WO2010005957A1 PCT/US2009/049792 US2009049792W WO2010005957A1 WO 2010005957 A1 WO2010005957 A1 WO 2010005957A1 US 2009049792 W US2009049792 W US 2009049792W WO 2010005957 A1 WO2010005957 A1 WO 2010005957A1
Authority
WO
WIPO (PCT)
Prior art keywords
illumination
points
design
optimization
source
Prior art date
Application number
PCT/US2009/049792
Other languages
French (fr)
Inventor
Jun Ye
Yu Cao
Hanying Feng
Original Assignee
Brion Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from NL1036189A external-priority patent/NL1036189A1/en
Application filed by Brion Technologies, Inc. filed Critical Brion Technologies, Inc.
Priority to US13/003,294 priority Critical patent/US8542340B2/en
Priority to NL2003719A priority patent/NL2003719A/en
Priority to JP2009248812A priority patent/JP5033860B2/en
Priority to NL2003729A priority patent/NL2003729A/en
Priority to US12/615,004 priority patent/US10025198B2/en
Priority to CN2009102210681A priority patent/CN101846886B/en
Publication of WO2010005957A1 publication Critical patent/WO2010005957A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system

Definitions

  • the technical field of the present invention relates generally to a method of optimizing an illumination pupil shape for a lithographic process, a device manufacturing method, a method of producing a diffractive optical element and a computer readable medium bearing a computer program for optimizing an illumination pupil shape.
  • Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • the mask may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist).
  • a target portion e.g. comprising one or more dies
  • a substrate silicon wafer
  • a layer of radiation-sensitive material resist
  • a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time.
  • each target portion is irradiated by exposing the entire mask pattern onto the target portion in one go; such an apparatus is commonly referred to as a wafer stepper.
  • each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the "scanning" direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction.
  • the projection system will have a magnification factor M (generally ⁇ 1)
  • M magnification factor
  • the speed Vat which the substrate table is scanned will be a factor M times that at which the mask table is scanned.
  • a mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist).
  • the substrate Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to pattern an individual layer of a device, e.g., an IC.
  • Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • the projection system may hereinafter be referred to as the "lens"; however, this term should be broadly interpreted as encompassing various types of projection systems, including refractive optics, reflective optics, and catadioptric systems, for example.
  • the radiation system may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, and such components may also be referred to below, collectively or singularly, as a "lens".
  • the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables).
  • the photolithographic masks referred to above comprise geometric patterns corresponding to the circuit components to be integrated onto a silicon wafer.
  • the patterns used to create such masks are generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional masks. These rules are set by processing and design limitations.
  • design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way.
  • the design rule limitations are typically referred to as "critical dimensions" (CD).
  • a critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes.
  • the CD determines the overall size and density of the designed circuit.
  • one of the goals in integrated circuit fabrication is to faithfully reproduce the original circuit design on the wafer (via the mask).
  • microlithography is a central step in the manufacturing of semiconductor integrated circuits, where patterns formed on semiconductor wafer substrates define the functional elements of semiconductor devices, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.
  • MEMS micro-electro mechanical systems
  • lithography This process, in which features with dimensions smaller than the classical resolution limit of an optical projection system are printed, is commonly known as lithography, according to the resolution formula where ⁇ is the wavelength of radiation employed (currently in most cases 248nm or 193nm), NA is the numerical aperture of the projection optics, CD is the 'critical dimension' -generally the smallest feature size printed- and is an empirical resolution factor.
  • NA the wavelength of radiation employed
  • CD the 'critical dimension' -generally the smallest feature size printed- and is an empirical resolution factor.
  • the smaller /c-i the more difficult it becomes to reproduce a pattern on the wafer that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance.
  • sophisticated fine-tuning steps are applied to the projection system as well as to the mask design. These include, for example, but not limited to, optimization of NA and optical coherence settings, customized illumination schemes, use of phase shifting masks, optical proximity correction in the mask layout, or other methods generally defined as 're
  • optical proximity correction addresses the fact that the final size and placement of a printed feature on the wafer will not simply be a function of the size and placement of the corresponding feature on the mask.
  • 'mask' and 'reticle' are utilized interchangeably herein.
  • the position of a particular edge of a given feature will be influenced to a certain extent by the presence or absence of other adjacent features.
  • proximity effects arise from minute amounts of light coupled from one feature to another.
  • proximity effects may arise from diffusion and other chemical effects during post-exposure bake (PEB), resist development, and etching that generally follow lithographic exposure.
  • PEB post-exposure bake
  • Both OPC and full-chip RET verification may be based on numerical modeling systems and methods as described, for example in, USP App. Ser. No. 10/815,573 and an article titled Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005).
  • process window concept can be extended to other basis parameters in addition to or besides exposure dose and defocus.
  • Manufacturability of a given design generally depends on the common process window of all features in a single layer. While state-of-the-art OPC application and design inspection methods are capable of optimizing and verifying a design at nominal conditions, it has been recently observed that process-window aware OPC models will be required in order to ensure manufacturability at future process nodes due to ever-decreasing tolerances and CD requirements.
  • N parameter settings e.g., defocus and exposure dose
  • off-axis illumination is a proven way to resolve fine structures (i.e., target features) contained in the mask.
  • an off-axis illuminator usually provides less light intensity for the aerial image (Al).
  • Al aerial image
  • identifying at least one design for manufacturing metric per optimization point - selecting a set of illumination source points based on the identified at least one design for manufacturing metric and determining the illumination pupil shape based on the selected set of illumination source points.
  • a computer readable medium bearing a computer program for optimizing an illumination pupil shape, the computer program, when executed, causing a computer to perform the steps of the method according to the first aspect of the invention.
  • a method of producing a diffractive optical element comprising - determining an illumination pupil shape according to any of the claims 1 to 11 ,
  • the present invention relates to a method which allows for a computation efficient technique for performing illuminator/source optimization.
  • the present invention provides several methods for efficient illuminator optimization which can be implemented with the use of programmable illuminator mask.
  • all the illumination source points are ranked according to the DFM metrics and the best illumination source points are selected to form an illumination source map.
  • the DFM metric is assumed to be non-interferential with each other between the different source points, the source points necessary for optimal illumination can be selected one by one, starting from the source point having the highest DFM metric, and proceeding downward.
  • the DFM metric is edge slope and it is only necessary to select a few source points that provide the highest edge slopes for the critical circuit locations.
  • the illumination optimization is combined with polynomialization of a transmission cross coefficient. After selecting the source points that provide the highest edge slope, a small amount of additional illumination source points are selected that have the largest defocus coefficients opposite to the sign of the already-selected illumination source points, so to cancel out the defocus sensitivity. As explained further below, this approach will further enlarge the resulting process window.
  • the present invention provides significant advantages over prior art methods. Different from traditional approaches, the method disclosed herein allows for the use of a programmable illuminator mask and for the design of optimal patterns for the illuminator mask according the design target. Using a programmable mask it is possible to derive the most general shaped illuminators. Most importantly, the present invention provides a computational efficient illuminator optimization process. The present invention is substantially guaranteed to achieve a global optimum. Further, the present invention does not rely on the assumption of source distribution uniformity or the choice of some extra parameters, (e.g., parameter ⁇ in Granik's method) as required by some prior art methods. In an embodiment, the optimization process of the present invention also substantially cancels out defocus sensitivity thereby enlarging the resulting process window of the imaging process.
  • the optimization process of the present invention also substantially cancels out defocus sensitivity thereby enlarging the resulting process window of the imaging process.
  • Fig. 1 is an exemplary block diagram illustrating a typical lithographic projection system.
  • Fig. 2 is an exemplary block diagram illustrating the functional modules of a lithographic simulation model.
  • Figs. 3-5 illustrate exemplary flowcharts regarding methods of simulating imaging performance which account for process variations associated with a given lithography process.
  • Fig. 6 is a flowchart illustrating a first exemplary embodiment of the process for optimizing the illumination source.
  • Fig. 7 is an exemplary flowchart illustrating a second exemplary embodiment of the process for optimizing the illumination source.
  • Fig. 8 is an exemplary flowchart illustrating another variation of the illumination optimization process.
  • Fig. 9 is an exemplary flowchart illustrating another variation of the illumination optimization process.
  • Fig. 10 is an exemplary flowchart illustrating another variation of the illumination optimization process.
  • Fig. 11 is an exemplary flowchart illustrating the joint optimization of the illumination source and the mask in accordance with an embodiment of the present invention.
  • Fig. 12 is a block diagram that illustrates a computer system which can assist in the implementation of the simulation method of the present invention.
  • FIG. 13 schematically depicts a lithographic projection apparatus suitable for use with the method of the present invention.
  • Al is regularly used as abbreviation for aerial image intensity (I).
  • Fig. 1 illustrates an exemplary lithographic projection system 10 of a lithographic projection apparatus in use.
  • the exemplary lithographic exposure system 10 comprises a radiation source 12, which may be a deep-ultraviolet excimer laser source, illumination optics which define the partial coherence (denoted as sigma) and which may include specific source shaping optics (14, 16a) and projection optics (16b, 16c).
  • a patterning device such as a mask or reticle 18 and there is a substrate, such as a wafer, at the image plane 22.
  • the projection optics (16b, 16c, 20) are used to produce an image of a mask pattern on the patterning device at the image plane 22.
  • a lithography simulation system roughly, these major system components of the lithographic exposure system are modeled by corresponding separate functional modules.
  • Fig. 2 an example is illustrated. Referring to Fig. 2, the functional modules include
  • a patterning device layout module such as a mask layout module 28, which defines the mask layout to be utilized in an imaging process step that will follow later;
  • a patterning device model module such as a mask model module 30, which models the utilization of the mask layout during the simulation process
  • optical model module 32 which defines the performance of the optical components of the modeled lithographic exposure system
  • the resist model module 34 which defines the performance of the resist being utilized in the given process.
  • the result of the simulation process produces, for example, predicted contours and CDs in the result module 36.
  • the properties of the illumination and projection optics are captured in the optical model module 32 that includes, but is not limited to, NA-sigma ( ⁇ ) settings as well as any particular illumination source shape.
  • the optical properties of the photo-resist layer coated on a substrate - i.e. refractive index, film thickness, propagation and polarization effects — may also be captured as part of the optical model module 32.
  • the mask model module 30 captures the design features of the reticle and may also include a representation of detailed physical properties of the mask, as described, for example, in US patent application No. 60/719,837 and in EP1941321.
  • the resist model module 34 describes the effects of chemical processes which occur during resist exposure, PEB and development, in order to predict, for example, contours of resist features formed on the substrate wafer.
  • the objective of a simulation using the lithography simulation system is to accurately predict, for example, edge placements and CDs, which can then be compared against the target design.
  • the pre-OPC mask layout i.e. the mask layout before any optimization is performed
  • GDSII or OASIS standardized digital file format
  • connection between the optical and the resist model is a simulated aerial image (Al) within a resist layer modeled to be on the substrate and in the image plane 22, which arises from the projection of radiation onto the substrate, refraction at the resist interface where the radiation enters the resist and multiple reflections in the resist layer (resist film stack).
  • the projection radiation can for instance comprise EUV radiation between 3 and 20 nm or radiation with a wavelength of 157 or 193 nm or larger.
  • the radiation intensity distribution of the aerial image is turned into a latent 'resist image' by absorption of photons, which is further modified by diffusion processes and various loading effects (hence the resist model module 34) into a final resist image.
  • Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3-dimensional intensity distribution in the resist film stack by a 2-dimensional aerial (and resist) image.
  • An efficient implementation of a lithography model is possible using the following formalism, where the aerial image intensity (here in scalar form, which may be extended to include polarization vector effects) is expressed as a Fourier sum over signal amplitudes in the pupil plane.
  • the aerial image intensity is expressed to depend on image of the patterning device (mask image) which itself is derived from the mask layout, then according to the standard Hopkins theory, the aerial image intensity may be defined by:
  • I(x) is the aerial image intensity at point x within the image plane 22 (for notational simplicity, a two-dimensional coordinate represented by a single variable is utilized)
  • k represents a point on the source plane
  • a (k) is the source amplitude from point k
  • k' and k" are points on the pupil plane
  • M is the Fourier transform of the mask image
  • P is the pupil function
  • TCC is a transmission cross coefficient
  • the aerial image intensity can be approximated by using only a limited number of dominant TCC terms, which can be determined by diagonalizing the TCC matrix and retaining the terms corresponding to its largest eigenvalues, i.e.,
  • Lumped parameters can reproduce process behavior close to fitted calibration points, but will have inferior predictive power compared with separable models when used to adjust the lithographic process. This can easily be understood as in the example above changing the projection system aberrations based on the lumped parameter may be detrimental as the lumped parameter does not only represent the projection system aberrations but also the stage vibration. Separability typically requires a sufficiently detailed model form - in the example above, e.g., using 2 independent filters for optical blurring and resist diffusion - as well as a suitable calibration methodology that assures isolation of optical effects from chemical resist effects.
  • the objective of the illumination optimization process of the present invention is, for a given target design, to generate an illumination pattern such that the resulting aerial image (Al) has the largest process window (PW) or best Design For Manufacturing (DFM) metrics (including for example but not limited to MEEF (Mask Error Enhancement Factor), derivative of CD vs. process window parameters, or the local aerial image intensity slope).
  • the illumination optimization process of the present invention utilizes a programmable illuminator mask and determines optimal patterns for the illuminator mask according the target design. The utilization of the programmable mask also allows for the derivation of most general shaped illuminators. Alternatively a free form diffractive optical element (DOE) is utilized.
  • DOE diffractive optical element
  • the purpose of lithography simulations in the context of OPC applications and OPC/RET verification is an accurate prediction of printed line widths and placement of resist contours by simulating the image projection process and resist development phenomena using calibrated optical and (post-exposure) process models.
  • One aspect of the current method is to replace a multitude of individual simulations for a given mask layout at many different parameter settings that sparsely cover a process window by a very limited number of simulations for that mask layout, and to parameterize the through-process window changes in a way that allows dense coverage by smooth interpolation at any arbitrary point in the process window.
  • the basic approach of the method can be understood by considering through-focus changes in resist line width (or edge placement) of a generic resist line. It is well known that the CD of the resist line typically has a maximum or minimum value at best focus, but the CD varies smoothly with defocus in either direction. Therefore, the through-focus CD variations of a particular feature may be approximated by a polynomial fit of CD vs. defocus, e.g. a second-order fit for a sufficiently small defocus range. However, the direction and magnitude of change in CD will depend strongly on the resist threshold (dose to clear), the specific exposure dose, feature type, and proximity effects. Thus, exposure dose and through-focus CD changes are strongly coupled in a non-linear manner that prevents a direct, general parameterization of CD or edge placement changes throughout the process window space.
  • the aerial image intensity (I) is also expected to show a continuous variation through focus. Every mask point may be imaged to a finite-sized spot in the image plane (22) that is characterized by the point spread function of the projection system. This spot will assume a minimum size at best focus but will continuously blur into a wider distribution with both positive and negative defocus. Therefore, it is possible to approximate the variation of aerial image intensity (I) through focus as a second-order polynomial for each individual image point within the exposure field: (Eq.4) where indicates the nominal or best focus position, and/ is the actual focus level at which the image /is calculated.
  • the choice of polynomial base functions is only one possibility to express a series expansion of the aerial image intensity through focus, and the methods are by no means restricted to this embodiment, e.g., the base functions can be special functions such as Bessel Functions, Legendre Functions, Chebyshev Functions, Trigonometric functions, and so on.
  • the process window term is most commonly understood as spanning variations over defocus and exposure dose, the process window concept can be generalized and extended to cover additional or alternative parameter variations, such as variation of NA and sigma, etc.
  • Wi is a user-assigned weight to defocus
  • Equation 8 can be solved analytically, resulting in immediate expressions for "a” and "b” as the linear combination or weighted sum of the aerial image intensities (/ / ) per focus condition, as shown below.
  • the coefficients of this linear combination do not depend on the pixel coordinate or pattern, but only on the values of the focus conditions (fi ⁇ ) and the user-assigned weights (Wi). As such, these coefficients can be understood as forming a linear filter for the purpose of interpolation in the space of focus condition (/), and the particular choice of polynomials as base functions gives rise to the specific values of the coefficients, independent of the mask pattern.
  • the contours, CD or Edge Placement Errors (EPEs) are to be extracted from the aerial image at different defocus conditions.
  • the first step (Step 40) in the process is to identify the target design (or (pre-OPC) mask layout) and the process conditions to be utilized.
  • the next step (Step 42) is to generate a nominal aerial image intensity Jo and L aerial images intensities ⁇ / / ⁇ at different focus conditions in accordance with (Eq.3) above. Thereafter, derivative images "a” and "b” are generated utilizing (Eq.9) (Step 43).
  • step 440 covers the process window analysis comprising steps 44, 46 and 48.
  • Step (Step 44) entails generating the defocus image utilizing (Eq.4), i.e., the synthesis of /o, a (scaled by/) and b (scaled by/).
  • contours are extracted and CDs or feature EPEs are determined from the simulated image (Step 46).
  • the process then proceeds to Step 48 to determine whether or not there is sufficient coverage (e.g., whether it is possible to determine the boundary of the process window) and if the answer is no, the process returns to Step 44 and repeats the foregoing process. If there is sufficient coverage, the process is complete.
  • the foregoing method also does not depend on any specific model or implementation used for simulating the set of aerial image intensities at varying defocus.
  • the foregoing method requires a number L>2 of individual images to be simulated for each mask layout under consideration.
  • an even more efficient solution is made possible by the TCC formalism introduced in (Eq.1).
  • TCC/ is the TCC at focus condition f 1 and is the matrix element of TCC/
  • M(°) represents the mask image (in the Fourier domain), which is independent of the focus.
  • A are the matrix elements of A and B, respectively.
  • a significant advantage of using TCC 0 , A, and ⁇ in place of the L through-focus images is that the TCCo, A, and B ean be pre-computed, independently of the actual mask pattern (mask layout), for known illumination and projection parameters, giving rise to the possibility of further reduction of computing time (down from L through-focus simulations for each mask pattern (mask layout)).
  • TCC for the image plane 22 (TCC 0 ) is determined without knowledge of the actual mask pattern.
  • the effect of the focus condition is modeled to be in the transmission cross coefficient and the Fourier coefficient of the mask image is modeled to be independent of the focus condition. It will be clear to the skilled person that other variants are possible as well.
  • TCC 0 , A, and B have been calculated, these terms can be generally applied to predict the through-focus imaging performance for any specific mask layout using (Eq.15) and (Eq.4).
  • a variation of exposure dose around nominal condition can be applied to the TCC terms by the same linear scaling as already described by (Eq.11) and (Eq.12) above.
  • TCC 0 64
  • a coefficient TCC matrix such as A or B is in general not non-negative-definite, which implies both positive and negative eigenvalues exist for a derivative TCC matrix. Therefore, the leading terms from the eigen value expansion and truncation should include all eigenvalues with the largest absolute values, both positive and negative.
  • the coefficients of the series expansion may be evaluated directly by a numerical finite difference method, or again from a fit using the least-squares criterion to a number of individually calculated TCC terms corresponding to a set of focus positions, in a manner similar to the through-focus fitting of aerial images discussed above.
  • the fitting approach provides a wider range of validity, and introduces weight factors to place more or less emphasis on certain parts of the process window. This approach will follow (Eq.6)-(Eq.9) after replacing the set of test images / / by their corresponding TCCs in the equations.
  • the method of the second embodiment is exemplified by the flow diagram in Fig. 4 where the contours, CD or Edge Placement Errors (EPEs) are to be extracted from the aerial image at different defocus conditions.
  • the first step (Step 50) in the process is to identify the process specific optical conditions associated with the desired process.
  • the next step (Step 52) is to generate a nominal condition TCCo and a set ⁇ TCC / ⁇ of transmission cross coefficients at a number L of defocus positions. Thereafter, the derivative TCCs (A,B) are generated utilizing (Eq.14) (Step 54).
  • Step 58 generates images Jo 1 a, b by convolution of the mask image with TCCo, A and B utilizing (Eq.17).
  • Step 56 defocus image is synthesized utilizing (Eq.4) (Step 60), thereby generating the simulated image.
  • Step 60 contours are extracted and CDs or feature EPEs are determined from the simulated image (Step 62).
  • Step 64 determines whether or not there is sufficient coverage to determine the boundary of the process window and if the answer is no, the process returns to Step 58 and repeats the foregoing process at a different focus condition.
  • Step 66 determines if the image produced by the mask design is within allowable error tolerances, and if so, the process is complete. If not, the process returns to Step 56 so as to allow for adjustment and redesign of the mask. It is noted that this last step is an optional step in the process.
  • FIG. 4 the diagram shows the process window analysis embedded within a 'mask variation loop' which may be required, in particular, for iterative, process window-aware OPC modifications of an initial mask design. In this situation, any improvement in computation speed for the through-process window image assessment will be especially beneficial.
  • additional reduction in computation time are be achieved by further suitable assumptions or a priori knowledge about the physics of the optical system. For example, in the absence of strong aberrations, it can be expected that the through-focus variation of aerial image intensities will be an even (i.e. symmetrical) function of defocus. Therefore, it can be expected that the first-order derivatives "A" and "a” will be negligible under these conditions.
  • All the above methods may also be extended to a generalized process window definition that can be established by different or additional base parameters in addition to exposure dose and defocus. These may include, but are not limited to, optical settings such as NA, sigma, aberrations, polarization, or optical constants of the resist layer (whose effects on the imaging process are included in the optical model, i.e. the TCCs).
  • optical settings such as NA, sigma, aberrations, polarization, or optical constants of the resist layer (whose effects on the imaging process are included in the optical model, i.e. the TCCs).
  • the aerial image intensity can be expressed as:
  • these parameters are again a linear combination of aerial image intensities
  • the coefficients of this linear combination do not depend on the pixel coordinate or pattern, but only on the values of the , and/or the user-assigned weights [076]
  • simplifications based on physical insight are also possible.
  • NA variations for example, it can be expected that these will have a rather monotonous, linear effect on the image variations, in which case (Eq.20) can be simplified by dropping the higher order "d” and "e” terms in NA, possibly in addition to the linear term in defocus.
  • the number of TCC terms used for calculating I 0 at the nominal condition need not be the same as the number of terms used for calculating image variations from the TCC derivatives A, B,... .
  • a sufficiently accurate description of minor image variations due to small parameter variations around the nominal condition may be achieved with a large number of terms for / 0 and a significantly smaller number for the derivatives, in order to reduce the overall computation time.
  • the following discussion will be based on defocus and exposure dose.
  • all the disclosures herein can be extended to a generalized process window with other parameters such as NA, sigma, aberrations, polarization, or optical constants of the resist layer, as illustrated in (Eq.20).
  • the response of photoresist to illumination by the projected aerial image may be strongly nonlinear, having a thresholding behavior
  • many processes occurring in the resist layer such as diffusion during PEB, can be modeled by convoluting the aerial image intensity with one or more linear filters before applying the threshold.
  • Such models will be generally referred to as 'linear' resist models, and the latent resist image for such models may be expressed schematically as:
  • P[ ⁇ denotes the functional action of applying a linear filter (i.e. generally a convolution), while R b is a mask loading bias that is independent of the aerial image.
  • resist model parameters are independent of optical model parameters.
  • a pragmatic test for separability is the ability to accurately calibrate the model and to fit test data across the complete extent of the process window.
  • the semi-empiricai nature of models suitable for full-chip lithography simulation may preclude perfect separability and may require resist model parameters that are allowed to vary with process window parameters such as defocus, NA or sigma settings.
  • process window parameters such as defocus, NA or sigma settings.
  • the series expansion of the resist image may include derivative terms of the resist model parameters.
  • resist model parameters are found to vary continuously across the process window space, similar series expansion and fitting as introduced above for the aerial image intensity (I) and transmission cross coefficients (TCC) can be applied to the resist model parameters during model calibration.
  • a linear, derivative filter ap can be calculated and be used in (Eq.25), which may also be extended in a straightforward way to include higher-order terms.
  • resist model parameters as well as aerial image variations are smoothly interpolated across the complete process window area. Both P and ap can be determined in a through-process window model calibration step based on experimental wafer data from test or gauge patterns.
  • the coefficients of the nominal condition resist model as well as of the associated filter may be determined from a unified model calibration procedure based on calibration test patterns and wafer gauge data covering pattern variations and process window variations, as an extension of the method described in US patent application No. 60/719,837 and EP1941321.
  • the optimal associated filter will minimize the sum of squared differences between (Eq.27) and (Eq.28), and can be determined by a variety of known optimization algorithms. It is noted that evaluation of (Eq.27) and (Eq.28) during the associated filter fitting should be performed at resist contours, so that the resulting filter most closely reproduces changes close to edge positions. Performance of the associated filter -in terms of accurately predicting changes in the resist image level- far away from edge positions is generally not required. After this fitting routine, the full-PW behavior of the resist images is again described as
  • resist model R ⁇ - ⁇ [097] In general, the resist model R ⁇ - ⁇ can be separated as:
  • P ⁇ is the linear filter operation and is some non-linear operation.
  • non-linear resist model operations are approximated using polynomials. More specifically, for truncation operations on image I(x), for the purpose of emulating acid and base reaction effects, quadratic polynomials of the image provide a sufficient approximation.
  • quadratic polynomials of the image provide a sufficient approximation.
  • the linear filtering of the image slope can be expressed precisely as the linear filtering of a quadratic function of the image gradient thus the quadratic polynomial of the aerial image I(x) itself. More specifically, letting ⁇ be the gradient operation and the linear filter be then this non-linear operation can be expressed as:
  • the goal of lithography design verification is to ensure that printed resist edges and line widths are within a pre-specified distance from the design target.
  • the size of the process window -exposure latitude and depth of focus — are defined by CDs or edge placements falling within the specified margin.
  • the 2-dimensional edge shift can be calculated separately in the x and y direction by the partial image derivative in each direction, or as an absolute shift using an absolute gradient value, i.e. the geometrical sum of and
  • edge shift can be directly expressed as a function of the differential images defined above: ⁇ (Eq.41)
  • the first step entails defining the process specific parameters associated with the lithography process and system that will be utilized in the imaging process. Thereafter, derivative TCCs A and B are generated utilizing (Eq.14) (Step 82).
  • Step 84 calibration test data is acquired for multiple process window conditions.
  • Step 85 model parameters for R o ⁇ and/or associated filter P ⁇ are determined utilizing in part the results of Step 82.
  • Step 86 the target mask pattern or design is defined (Step 86). The process then proceeds to generate images such as R P ⁇ and P ⁇ b ⁇ in Step 88.
  • Step 90 the simulated image is synthesized, NC contours are extracted, and feature EPEs are determined at a given set of edge positions ⁇ x, ⁇ (Step 90).
  • the process then proceeds to Step 92 to determine EPE or CD variations through process window at edge positions
  • Step 94 the results obtained in Step 92 are analyzed to determine whether the resulting image is within a predefined error tolerance, thus, determining a common process window as well as identifying any problem area (i.e., hot-spots) within the design.
  • the first step in the illumination optimization process is to identify the layout of the target pattern for a patterning device (such as and also referred to as the mask pattern) for which the illumination will be optimized.
  • the next step in the process is to identify a set op optimization points within the target pattern for the patterning device for which the illumination source will be optimized.
  • a limited number of optimization points representing the target pattern are chosen.
  • the optimization points are critical points within the target pattern for the patterning device (such as a mask pattern), which typically correspond to the features of the target pattern for the patterning device that are expected to be the most difficult to image properly (i.e., imaging within the allowed error tolerances).
  • critical points correspond to, but are not limited to, critical integrated circuit modules or cells, such as the most densely spaced cells, or alternatively, cells contained on the integrated circuits critical paths.
  • the optimization points are randomly distributed over the target pattern.
  • the method according to an embodiment of the invention does not pose a restriction to the number of optimization points.
  • M critical points in the circuit pattern.
  • the critical points may be identified based upon a target substrate pattern, i.e. the pattern that is the intended result of the complete lithographic process. In such a case the corresponding critical points on the target pattern for the patterning device are determined for instance by methods well known to the person skilled in the art.
  • the illumination optimization process of the given embodiment also utilizes Design For Manufacture (DFM) metrics such as MEEF (Mask Error Enhancement Factor), derivative of critical dimension versus process window parameters, or the local aerial image intensity slope, etc.
  • DFM Design For Manufacture
  • the illuminator can be divided into a set of incoherent point radiation sources using a suitable grid without losing information.
  • Each point of the illumination pupil represents a radiation source that is independent of all other points on the pupil, and each pupil point by itself is at the basis of a coherent imaging process.
  • the final total image intensity can be determined by the summation of the intensity contribution from all of the individual pupil points (i.e., the aerial image intensity can be computed by summing up the aerial image intensities corresponding to each of the point sources).
  • the final total value of the design for manufacture metric (which can be calculated based on aerial image intensity without considering the resist exposure part) can be approximated as an (arithmetic) average of the values of the DFM metrics from the individual illumination pupil point sources. For example, there are P illumination pupil points that emit radiation onto the mask and these illumination pupil points' corresponding TCCs are denoted by TCCi TCCp, then if only the /7-th illumination pupil point with TCC p emits radiation onto the mask, the resulting aerial image intensity ⁇ p can be computed using (Eq.1 ) or (Eq.3).
  • the DFM metric of the aerial image intensity I can be represented as D n (I) , then by (Eq.42) and using the approximation of the linearity of the DFM metric, can be determined by:
  • the computation is improved by not computing the values of the N DFM metrics for every illumination pupil point, and by alternatively performing an interpolation process to determine all of the values of the N DFM metrics utilizing the computed values. It is noted however, that the determination of the NxM values for the DFM metrics is typically not a bottleneck. In a further embodiment wherein aberration data is not available, the aberration value at the nominal condition is utilized, and the aberration-sensitivity is made part of the DFM metrics.
  • the final total image DFM metric D mn is the average of D mn (x p ,y p ) in all open illumination pupil points (i.e., illumination points emitting radiation onto the mask). It is then straightforward to find the open area in the illumination pupil plane dictated by the requirement of each individual D mn .
  • the first step in the illumination optimization process (Step 260) of the given embodiment is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized.
  • the next step in the process (Step 262) is to identify optimization points within the target pattern (or mask pattern).
  • critical points are chosen.
  • critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively, cells contained on the target ICs critical paths.
  • the values of the DFM metrics associated with all individual source points (i.e., pupil points) are determined for each of the M critical circuit points for each of the N DFM metrics being considered.
  • Steps 266 and 268 the process iterates over all possible critical points and all DFM metrics. Specifically, for each critical point (m) and each DFM metric (D n ), the source points are ranked according to their values for the DFM metric (D mn ) in a descending order (or ascending order, depending on the DFM metric being utilized) in Step 270. In Step 272, both the final total image DFM metric (D mn ) and the number of open pupil points (P) are initialized to 0. In Step 274, the (P+1)-th pupil point is chosen, and D m ⁇ and P are updated.
  • Step 276 It is then determined (Step 276) whether or not the average DFM metric value of all source points (p) currently selected is below (or above, depending on which DFM metric is utilized) the threshold for acceptable DFM metric values which was predefined based on the given fabrication process. If the average DFM metric value of the selected source points (p) is not acceptable, then the open area identification process for D mn is complete and we will proceed to the next DFM metric (Step 278) or the next critical point (Step 280) and Steps 270-274 are repeated.
  • Step 282 If the average DFM metric value of the currently selected source points is acceptable, the pupil point is included in the illumination pattern (Step 282) by increasing P with 1 , and the process proceeds back to Step 274, in which the next source point is selected (i.e., opened), and the foregoing analysis is repeated.
  • a first set of illumination points is determined per critical point and per design for manufacturing metric.
  • a second set of illumination points is determined as the intersection of all first sets (open areas, selected or open source points). The second set defines the final illumination pupil shape (Step 284). It is noted that as a result of the foregoing process, the commonly covered area, i.e., the intersection of all open areas, will satisfy the fabrication process requirements.
  • the fabrication process does not specify individual values for DFM metric D mn , but instead defines a cost function as a function of the DFM metric D mn and an iteration criterion such as the total number of open (selected) pupil points.
  • a non-linear optimization algorithm is applied to find the open pupil points that optimize the cost function.
  • I acceptable aerial image intensity
  • the cost function can be defined as F
  • the cost function may be a weighted average of the set of DFM metrics i.e., where are some constant weights, i.e., Lagrange multipliers. These Lagrange multipliers dictate the importance of every combination of critical point and DFM metric in the optimization process.
  • the cost function operates to map an aerial image intensity (I) to a real number and design.
  • the illumination optimization algorithm then operates to select a given number of source points such that the cost function is optimized for the resulting aerial image intensity (I).
  • the optimized cost function thus corresponds to the optimal illumination.
  • the cost function is only computed over those optimization points. The choice of the cost function is important because it determines both the quality of the final aerial image intensity (I) and the complexity and the accuracy of the algorithm.
  • the standard max-min cost criterion is used combined with the greedy algorithm (wherein choices made in earlier iteration steps are not re-evaluated in later iteration steps) which always selects the local optimum at each stage. Simulations indicate that this yields acceptable results and is fast.
  • the cost function of the max-min criterion is defined as the minimum local gradient of the optimization points, here critical points.
  • the directions on which the gradient should be optimized are also provided. More specifically, for a point (x,y) in the (aerial) image plane 22 (note that this is different from the pupil plane point ( ⁇ p ,y p )), the gradient (G) of the aerial image intensity (I), which gradient is a vector, can be computed as:
  • I represents the magnitude of the gradient
  • the unit vector represents the gradient direction.
  • the optimization algorithm tries to maximize the cost function in a "greedy" manner, that is, the algorithm selects the next aerial image intensity (I) that yields next best cost function value.
  • Fig. 7 illustrates a flowchart of the illumination optimization process according to an embodiment which utilizes the aforementioned "greedy" optimization of a max-min function.
  • the first step in the illumination optimization process (Step 170) is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized.
  • the next step in the process (Step 172) is to identify optimization points, here critical points, within the target pattern or mask pattern.
  • critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths.
  • Step 174 the values for the DFM metric, which in the given embodiment correspond to the magnitude of the aerial image intensity gradient (G), associated with the P individual pupil points (or source points) are determined for the M critical circuit points.
  • Step 176 the pupil point having the highest value of the DFM metric among all critical points is selected. This corresponds to selecting the source point which maximizes the minimum aerial image intensity gradient (G) among all critical points (analogue to finding a local optimum). In this way, for all critical points the minimum gradient is optimized by selecting source points. Larger gradients give larger contrast (in this case for all critical points with that selected source point).
  • the source point having the lowest value of the DFM metric among all critical points is selected. This step can be formulated as:
  • the function arg min represents the argument of the minimum, i.e., the value of the given argument for which the value of the given object function achieves its minimum. (The corresponding opposite is the arg max function which represents the argument of the maximum.)
  • Step 178 the source point among the remaining source points that most improves the aerial image intensity gradient G at the worst critical point (with respect to the imaging result) is selected (i.e., opened).
  • the process then proceeds to evaluating the iteration criterion.
  • the desired number of source points it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on aerial image intensity by the fabrication process). If the number of selected (i.e., open) source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 178 to select the next best source point and then returns to Step 179. This reiterative process continues until the desired number of source points has been selected.
  • the termination of the iteration does not depend on whether the desired number of source points is reached, but another iteration criterion is used. For example, the iteration proceeds until a number of iterations has been reached, or until the maximum minimal gradient is below a certain value or until the maximum minimal gradients for a critical point (x c ,y c ) in the image plane (22) differ too much depending in the direction of the gradient. Alternatively a combination of criteria is used.
  • the aerial image intensity is only determined by simulation for a subset of illumination pupil points and the values for the aerial image intensity in the illumination pupil points which are not in the subset are determined via interpolation.
  • the illumination optimization is combined with the polynomial representation of the aerial image intensity terms.
  • the objective is to optimize the source to reduce the aerial image intensity variation with respect to process window parameters such as defocus (i.e. to optimize the source so that the aerial image intensity is as constant as possible within the process window).
  • the aerial image intensity (I) is expressed as a polynomial of the process window parameters' values.
  • polynomial coefficients as part of the optimization selection criteria (i.e., DFM metric) when selecting source points.
  • the aerial image intensity (I) is modeled as a polynomial with terms relating to defocus and corresponding defocus coefficients expressing the weight of the polynomial terms (or the sensitivity of the aerial image intensity with respect to defocus).
  • Those source points with the smallest defocus coefficients should be selected.
  • the source points which correspond to a minimum of the maximum absolute value or the maximum square of the defocus coefficients are selected.
  • For each source point we can compute the images intensity derivatives a and b from (Eq.9) or (Eq.17).
  • the magnitude can be the maximum absolute value of its elements, i.e., or the square of the elements, i.e.,
  • Fig. 8 illustrates a flowchart of the illumination optimization process which utilizes the aforementioned optimization using image intensity derivatives around critical points.
  • the first step in the illumination optimization process (Step 200) is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized.
  • the next step in the process (Step 202) is to identify critical points within the target circuit pattern or mask pattern.
  • critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths.
  • Step 204 the magnitude of image intensity derivative values, associated with the individual source points (i.e., pupil points) are determined for the critical circuit points.
  • Step 206 the source point having the lowest magnitude of image intensity derivative values is selected. This step can be formulated as: (Eq.45)
  • L is the number of critical points, stands for the image intensity derivative at critical point and this image intensity derivative is associated with source point Further , for every source point itself can be viewed as an image with pixels being all the critical points and
  • Step 208 it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on aerial image (Al) intensity (I) by the fabrication process). If the number of selected (i.e., open) illumination source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 206 to select the next best source point and then returns to Step 208. This iterative process continues until the desired number of source points have been selected. It will be clear to the skilled person that other iteration criteria can be used as well in an analogue variety as explained earlier.
  • a pair source points with coefficients of opposite sign can be selected together so their defocus effect can substantially cancel each other.
  • the sum(or total) image intensity is the sum of image intensities from all open (i.e. selected) source points, thus the image intensity derivative are the sum of image intensity derivatives from all open source points.
  • the image intensity derivative are the sum of image intensity derivatives from all open source points.
  • a and b are the first order and second order image intensity derivatives for the combined set of selected source points, while a p and b p are the first order and second order image intensity derivatives associated with ⁇ -th open source point.
  • an alternative strategy is to choose those source points p so that the magnitude of the first and or second order image intensity derivatives around the worst critical points is minimized.
  • Step 9 Another greedy approach is used (Figure 9). This strategy is similar to the one demonstrated in Figure 7.
  • the first step in this illumination optimization process (Step 900) is again to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized.
  • the next step in the process (Step 902) is to identify optimization points within the target circuit pattern or mask pattern.
  • critical points are chosen and as noted above, critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths.
  • Step 904 associated with the individual source points are determined for each critical circuit point [127]
  • Step 906 the source point having the lowest image intensity derivative magnitude at the worst critical point, which corresponds to the source point which minimizes the maximum among all critical points is selected. This step can be formulated as:
  • the optimization point (in the target pattern) which has the largest minimum value for the magnitude of the second order coefficient associated with an individual illumination source point is determined. Also, the associated individual illumination source point is selected. [128] As indicated for this embodiment, illumination source points with coefficients of opposite sign are to be selected. The pair of illumination source point will be completed in the next step.
  • step 908 the illumination source point among the remaining illumination source points that leads to the minimum magnitude of the derivative of the aerial image intensity around the same worst critical point is selected (i.e., opened). Assuming that the set of already opened source points is and the set of remaining illumination source points is then this step is to look for the illumination source point:
  • a pair of illumination source points (p,p') is determined in a greedy way. It will be clear to the skilled person that in stead of a pair of illumination source points, a larger plurality of illumination source points can be selected in an analogue way.
  • Step 910 it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on Al intensity by the fabrication process). If the number of selected (i.e., open) source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 908 to select the next best source point and then returns to Step 910. This reiterative process continues until the desired number of source points has been selected. In variants of this embodiment other iteration criteria are used as explained before.
  • edge-slope-maximization-based illumination optimization and the defocus-sensitivity-minimization-based illumination optimization are combined.
  • the foregoing illumination optimization processes result in a selection of illumination pupil points of an illuminator (IL) of a lithographic exposure apparatus which are to be used when exposing a substrate to the image of the target pattern (i.e. a selection of open illumination points), whereas the not selected (i.e. closed) illumination pupil points will not irradiate the target pattern.
  • IL illuminator
  • a simple transmissive pupil of an optical component corresponds to an aperture allowing radiation to pass.
  • opening the selection of illumination points can be understood to determine the illumination pupil shape.
  • the illumination optimization process described above may be used with any form of illuminator or combination of source, beam delivery components (used to deliver radiation from the source to the illuminator) and illuminator (IL) arranged to accomplish this.
  • an illumination pupil filter is placed in the pupil plane of the illuminator (IL).
  • the illumination pupil filter is arranged to substantially filter away radiation at illumination points which are not selected while it outputs radiation from the illumination points which are selected.
  • the illumination pupil shape corresponds to the illumination pupil filter.
  • the results of the illumination optimization are used to define a diffractive optical element (DOE) to be placed in the optical path of the illumination radiation upstream of the patterning device (MA).
  • DOE diffractive optical element
  • the diffractive optical element is arranged so that corresponds to diffracting away radiation from the patterning device at illumination pupil points which are not selected and that it corresponds to output radiation towards the patterning device at points which are selected.
  • Such a diffractive optical element however has a fixed shape, is expensive, has a long order lead time and is optimized for a certain target pattern.
  • Lithographic exposure apparatus are often used for various target patterns.
  • a programmable mask is used as the illumination pupil filter.
  • the optimal illumination pupil filter which is defined by the result of the foregoing optimization processes, can be programmed or implemented utilizing a programmable mask or other radiation-intensity-modulators.
  • the first step (Step 180) and second step (Step 182) in the process is to identify the mask pattern and the optimization points of the pattern.
  • the optimization points may be determined in different ways such as randomly.
  • the mask pattern and the optimization points are determined in the same manner as Steps 260 and 262, respectively, in the process illustrated in Fig. 6 (i.e. critical points are determined).
  • the mask and the source can be co-optimized by performing a reiterative process in which the illumination filter is optimized first (Step 184) utilizing, for example, either of the foregoing source optimization methods detailed above, followed by an optimizing process for the patterning device such as an OPC process (Step 186) for a mask (the optimized illumination filter may reduce the complexity of OPC design, and hence reduce the mask cost).
  • the OPC process returns back to the illumination filter optimization process/source optimization (Step 184), which is followed again by the OPC process (Step 186) (from original pre-OPC design, not from the previously Post-OPC design, since the pre-OPC design, i.e., the design target, remains unchanged).
  • This reiterative process is performed until an iteration criterion is met, for instance in that there is convergence, Step 188, (i.e., there is no further improvement in either the source or mask design).
  • the reiterative process in performed until an iteration criterion is met for the mask design after which the illumination is optimized once more. At this stage, the process is complete. It will be clear to the skilled person that existing, well known methods and software can be used for performing the optimization process for the patterning device.
  • the optimization process for the patterning device can also be combined with design inspection to identify hot spots as the critical points in the circuit pattern or change the cost function to better improve the areas with dense hot spots. Therefore, in Fig. 11 , when the OPC process is completed, the process can return back to Step 182 instead of Step 184 to re-identify critical points. As explained earlier, also after completing the last OPC process the illumination optimization process may be run once more, for instance after identifying the critical points based on the file mask design.
  • Fig. 12 is a block diagram that illustrates a computer system (100) which can assist in the optimization method disclosed herein.
  • the computer system (100) includes a bus (102) or other communication mechanism for communicating information, and a processor (104) coupled with the bus (102) for processing information.
  • the computer system (100) also includes a main memory (106), such as a random access memory (RAM) or other dynamic storage device, coupled to the bus (102) for storing information and instructions to be executed by the processor (104).
  • the main memory (106) also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by the processor (104).
  • the computer system (100) further includes a read only memory (ROM) (108) or another static storage device coupled to the bus (102) for storing static information and instructions for the processor (104).
  • ROM read only memory
  • the computer system (100) may be coupled via the bus (102) to a display (112), such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device (114), including alphanumeric and other keys, is coupled to the bus (102) for communicating information and command selections to the processor (104).
  • cursor control such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to the processor (104) and for controlling cursor movement on the display (112).
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of the optimization process may be performed by the computer system (100) in response to the processor (104) executing one or more sequences of one or more instructions contained in the main memory (106).
  • Such instructions may be read into the main memory (106) from another computer-readable medium, such as a storage device (110). Execution of the sequences of instructions contained in the main memory (106) causes the processor (104) to perform the process steps described herein.
  • processors (104,105) in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in the main memory (106).
  • hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as the storage device (110).
  • Volatile media include dynamic memory, such as the main memory (106).
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise the bus (102). Transmission media can also take the form of acoustic or electro-magnetic waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to the processor (104) for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to the computer system (100) can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to the bus (102) can receive the data carried in the infrared signal and place the data on the bus (102).
  • the bus (102) carries the data to the main memory (106), from which the processor (104) retrieves and executes the instructions.
  • the instructions received by the main memory (106) may optionally be stored on the storage device (110) either before or after execution by the processor (104).
  • the computer system (100) also preferably includes a communication interface (118) coupled to the bus (102).
  • the communication interface (118) provides a two-way data communication coupling to a network link (120) that is connected to a local network (122).
  • the communication interface (118) may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • the communication interface (118) may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • Wireless links may also be implemented.
  • the communication interface (118) sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • a network link (120) typically provides data communication through one or more networks to other data devices.
  • the network link (120) may provide a connection through a local network (122) to a host computer (124) or to data equipment operated by an Internet Service Provider (ISP) (126).
  • the ISP (126) in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the "Internet” (128).
  • the local network (122) and the internet (128) both use electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on the network link (120) and through the communication interface (118), which carry the digital data to and from the computer system (100), are exemplary forms of carrier waves transporting the information.
  • the computer system (100) can send messages and receive data, including program code, through the network(s), the network link (120), and the communication interface (118).
  • a server (130) might transmit a requested code for an application program through Internet (128), the ISP (126), the local network (122) and the communication interface (118).
  • one such downloaded application provides for the illumination optimization of the embodiment, for example.
  • the received code may be executed by the processor (104) as it is received, and/or stored in the storage device (110), or other non-volatile storage for later execution. In this manner, the computer system (100) may obtain application code in the form of a carrier wave.
  • Fig. 13 schematically depicts an exemplary lithographic exposure apparatus whose illumination source could be optimized utilizing the process of present invention.
  • the apparatus comprises:
  • the radiation system also comprises a radiation source (LA);
  • MT first object table
  • MA mask
  • PL projection system
  • a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g., a resist-coated silicon wafer), and connected to second positioning means for accurately positioning the substrate with respect to item PL;
  • a substrate W e.g., a resist-coated silicon wafer
  • the apparatus is of a reflective type (i.e., has a reflective mask). However, in general, it may also be of a transmissive type, for example (with a transmissive mask). Alternatively, the apparatus may employ another kind of patterning device as an alternative to the use of a mask; examples include a programmable mirror array or LCD matrix.
  • the source LA e.g., a mercury lamp, excimer laser, an EUV light source or even an X-ray source
  • the source LA produces a beam of radiation for instance having a wavelength of 365, 248,193 or 157 nm or a wavelength between roughly 20 nm and roughly 3 nm or 5 nm or within the range of X-rays.
  • This beam is fed into an illumination system (illuminator) (IL), either directly or after having traversed conditioners, such as a beam expander Ex, and/or beam delivery components (not shown).
  • Beam delivery components can for instance be simple mirrors used to reflect the radiation of the source towards the lithographic exposure apparatus.
  • the source is allocated on a floor below (or above) the exposure apparatus or in a different room on the same floor, because the source requires different safety measures and/or environmental conditioning (number of dust particles).
  • the illuminator IL may comprise one or more adjusting devices AM for setting the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in the beam.
  • ⁇ -outer and ⁇ -inner respectively
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section.
  • the source LA may be within the housing of the lithographic projection apparatus (as is often the case when the source LA is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus by beam delivery components (e.g., with the aid of suitable directing mirrors); this latter scenario is often the case when the source LA is an excimer laser (e.g., based on KrF, ArF or F 2 lasing).
  • the current invention encompasses at least both of these scenarios.
  • the beam PB subsequently intercepts the mask MA, which is held on a mask table MT.
  • the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB.
  • the first positioning means can be used to accurately position the mask MA with respect to the path of the beam PB, e.g., after mechanical retrieval of the mask MA from a mask library, or during a scan.
  • movement of the object tables is be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in Fig. 13.
  • a long-stroke module coarse positioning
  • a short-stroke module fine positioning
  • the mask table MT may just be connected to a short stroke actuator, or may be fixed.
  • step mode the mask table MT is kept essentially stationary, and an entire mask image is projected in one go (i.e., a single "flash") onto a target portion C.
  • the substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB;
  • - In scan mode essentially the same scenario applies, except that a given target portion C is not exposed in a single "flash".
  • a relatively large target portion C can be exposed, without having to compromise on resolution.
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size.
  • EUV extreme ultra violet
  • EUV lithography is capable of producing wavelengths within a range of 20-5nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range. Because most materials are absorptive within this range, illumination may be produced by reflective mirrors with a multi-stack of Molybdenum and Silicon.
  • the multi-stack mirror has a 40 layer pairs of Molybdenum and Silicon where the thickness of each layer is a quarter wavelength.
  • any use of the terms "reticle”, “wafer” or “die” in this text should be considered as being replaced by the more general terms “mask”, “substrate” and “target portion”, respectively.
  • the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm).
  • mask as employed in this text may be broadly interpreted as referring to generic patterning means that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “radiation valve” can also be used in this context.
  • radiation valve can also be used in this context.
  • classic mask transmissive or reflective; binary, phase-shifting, hybrid, etc.
  • examples of other such patterning means include:
  • a programmable mirror array • a programmable mirror array.
  • An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • the basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation.
  • the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface.
  • the required matrix addressing can be performed using suitable electronic means. More information on such mirror arrays can be gleaned, for example, from United States Patents US 5,296,891 and US 5,523,193, which are incorporated herein by reference.

Abstract

A method of optimizing an illumination pupil shape for a lithographic process 1 comprises identifying a target pattern (206) to be imaged by said lithographic process. It further comprises identifying at least one optimization point (262) in said target pattern and identifying at least one design for manufacturing metric (270) per optimization point. Additionally it comprises selecting a set of illumination source points (274) based on the identified at least one design for manufacturing metric and determining the illumination pupil shape (284) based on the selected set of illumination source points.

Description

Illumination Optimization
Cross-Reference To Related Application
[001] The present application claims priority from JP 2008-305942, filed on December 1 , 2008 with the Japanese patent office, NL 1036189 filed with the Dutch patent office on November 12, 2008 and US61 /078,599, filed on July 7, 2008 with the US patent office, the full contents of which are hereby included in their entirety by reference.
Technical Field
[002] The technical field of the present invention relates generally to a method of optimizing an illumination pupil shape for a lithographic process, a device manufacturing method, a method of producing a diffractive optical element and a computer readable medium bearing a computer program for optimizing an illumination pupil shape.
Background
[003] Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, the mask may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist). In general, a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern onto the target portion in one go; such an apparatus is commonly referred to as a wafer stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the "scanning" direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction. Since, in general, the projection system will have a magnification factor M (generally < 1), the speed Vat which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic devices as described herein can be gleaned, for example, from US 6,046,792, incorporated herein by reference. [004] In a manufacturing process using a lithographic projection apparatus, a mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features. This array of procedures is used as a basis to pattern an individual layer of a device, e.g., an IC. Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. [005] For the sake of simplicity, the projection system may hereinafter be referred to as the "lens"; however, this term should be broadly interpreted as encompassing various types of projection systems, including refractive optics, reflective optics, and catadioptric systems, for example. The radiation system may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, and such components may also be referred to below, collectively or singularly, as a "lens". Further, the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables). In such "multiple stage" devices the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Twin stage lithographic apparatus are described, for example, in US 5,969,441 , incorporated herein by reference. [006] The photolithographic masks referred to above comprise geometric patterns corresponding to the circuit components to be integrated onto a silicon wafer. The patterns used to create such masks are generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional masks. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way. The design rule limitations are typically referred to as "critical dimensions" (CD). A critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes. Thus, the CD determines the overall size and density of the designed circuit. Of course, one of the goals in integrated circuit fabrication is to faithfully reproduce the original circuit design on the wafer (via the mask).
[007] As noted, microlithography is a central step in the manufacturing of semiconductor integrated circuits, where patterns formed on semiconductor wafer substrates define the functional elements of semiconductor devices, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.
[008] As semiconductor manufacturing processes continue to advance, the dimensions of circuit elements have continually been reduced while the amount of functional elements, such as transistors, per device has been steadily increasing over decades, following a trend commonly referred to as 'Moore's law'. At the current state of technology, critical layers of leading-edge devices are manufactured using optical lithographic projection systems known as scanners that project a mask image onto a substrate using illumination from a deep-ultraviolet laser light source, creating individual circuit features having dimensions well below 100nm, i.e. less than half the wavelength of the projection light. [009] This process, in which features with dimensions smaller than the classical resolution limit of an optical projection system are printed, is commonly known as
Figure imgf000006_0002
lithography, according to the resolution formula
Figure imgf000006_0001
where λ is the wavelength of radiation employed (currently in most cases 248nm or 193nm), NA is the numerical aperture of the projection optics, CD is the 'critical dimension' -generally the smallest feature size printed- and is an empirical resolution factor. In general, the
Figure imgf000007_0001
smaller /c-i, the more difficult it becomes to reproduce a pattern on the wafer that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps are applied to the projection system as well as to the mask design. These include, for example, but not limited to, optimization of NA and optical coherence settings, customized illumination schemes, use of phase shifting masks, optical proximity correction in the mask layout, or other methods generally defined as 'resolution enhancement techniques' (RET).
[010] As one important example, optical proximity correction (OPC, sometimes also referred to as 'optical and process correction') addresses the fact that the final size and placement of a printed feature on the wafer will not simply be a function of the size and placement of the corresponding feature on the mask. It is noted that the terms 'mask' and 'reticle' are utilized interchangeably herein. For the small feature sizes and high feature densities present on typical circuit designs, the position of a particular edge of a given feature will be influenced to a certain extent by the presence or absence of other adjacent features. These proximity effects arise from minute amounts of light coupled from one feature to another. Similarly, proximity effects may arise from diffusion and other chemical effects during post-exposure bake (PEB), resist development, and etching that generally follow lithographic exposure.
[011] In order to ensure that the features are generated on a semiconductor substrate in accordance with the requirements of the given target circuit design, proximity effects need to be predicted utilizing sophisticated numerical models, and corrections or pre-distortions need to be applied to the design of the mask before successful manufacturing of high-end devices becomes possible. The article "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751 , pp 1-14 (2005) provides an overview of current 'model-based' optical proximity correction processes. In a typical high-end design almost every feature edge requires some modification in order to achieve printed patterns that come sufficiently close to the target design. These modifications may include shifting or biasing of edge positions or line widths as well as application of 'assist' features that are not intended to print themselves, but will affect the properties of an associated primary feature.
[012] The application of model-based OPC to a target design requires good process models and considerable computational resources, given the many millions of features typically present in a chip design. However, applying OPC is generally a difficult, time consuming, iterative process that does not always resolve all possible weaknesses on a layout. Therefore, post-OPC designs, i.e. mask layouts after application of all pattern modifications by OPC and any other RET's, need to be verified by design inspection, i.e. intensive full-chip simulation using calibrated numerical process models, in order to minimize the possibility of design flaws being built into the manufacturing of a mask set. This is driven by the enormous cost of making high-end mask sets, which run in the multi-million dollar range, as well as by the impact on turn-around time by reworking or repairing actual masks once they have been manufactured.
[013] Both OPC and full-chip RET verification may be based on numerical modeling systems and methods as described, for example in, USP App. Ser. No. 10/815,573 and an article titled Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005).
[014] While full-chip numerical simulation of the lithographic patterning process has been demonstrated at a single process condition, typically best focus and best exposure dose or best 'nominal' condition, it is well known that manufacturability of a design requires sufficient tolerance of pattern fidelity against small variations in process conditions that are unavoidable during actual manufacturing. This tolerance is commonly expressed as a process window, defined as the width and height (or 'latitude') in exposure-defocus space over which CD or edge placement variations are within a predefined margin (i.e., error tolerance), for example ±10% of the nominal line width. In practice, the actual margin requirement may differ for different feature types, depending on their function and criticality. Furthermore, the process window concept can be extended to other basis parameters in addition to or besides exposure dose and defocus. [015] Manufacturability of a given design generally depends on the common process window of all features in a single layer. While state-of-the-art OPC application and design inspection methods are capable of optimizing and verifying a design at nominal conditions, it has been recently observed that process-window aware OPC models will be required in order to ensure manufacturability at future process nodes due to ever-decreasing tolerances and CD requirements. [016] Currently, in order to map out the process window of a given design with sufficient accuracy and coverage, simulations at N parameter settings (e.g., defocus and exposure dose) are required, where N can be on the order of a dozen or more. Consequently, an N-fold multiplication of computation time is necessary if these repeated simulations at various settings are directly incorporated into the framework of an OPC application and verification flow, which typically will involve a number of iterations of full-chip lithography simulations. However, such an increase in the computational time is prohibitive when attempting to validate and/or design a given target circuit. [017] As such, there is a need for simulation methods and systems which account for variations in the process-window that can be used for OPC and RET verification, and that are more computationally efficient than such a 'brute-force' approach of repeated simulation at various conditions as is currently performed by known prior art systems. Such a simulation method is disclosed in US Applications No. 60/992,546 and 12/315,849, assigned to Brion Technologies.
[018] In addition, calibration procedures for lithography models are required that provide models being valid, robust and accurate across the process window, not only at singular, specific parameter settings. Such a calibration process is disclosed in US Application No. 60/706,144 and in EP1920369, also assigned to Brion Technologies. [019] In addition to performing the foregoing mask adjustments (e.g., OPC) in an effort to optimize the imaging results, the illumination scheme utilized in the imaging process can be also optimized, either jointly with mask optimization or separately, in an effort to improve the overall lithography fidelity. Since the 1990s, many off-axis light sources, such as annular, quadrupole, and dipole, have been introduced, and have provided more freedom for OPC design, thereby improving the imaging results. As is known, off-axis illumination is a proven way to resolve fine structures (i.e., target features) contained in the mask. However, when compared to a traditional illuminator, an off-axis illuminator usually provides less light intensity for the aerial image (Al). Thus, it becomes necessary to attempt to optimize the illuminator to achieve the optimal balance between finer resolution and reduced light intensity.
[020] Numerous prior art illumination optimization approaches are known. For example, in an article by Rosenbluth et al., titled "Optimum Mask and Source Patterns to Print A Given Shape", Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002), the source is partitioned into several regions, each of which corresponds to a certain region of the pupil spectrum. Then, the source distribution is assumed to be uniform in each source region and the brightness of each region is optimized for process window. However, such an assumption that the source distribution is uniform in each source region is not always valid, and as a result the effectiveness of this approach suffers. In another example set forth in an article by Granik, titled "Source Optimization for Image Fidelity and Throughput", Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, (2004), several existing source optimization approaches are overviewed and a method based on illuminator pixels is proposed that converts the source optimization problem into a series of non-negative least square optimizations. Though these methods have demonstrated some successes, they typically require multiple complicated iterations to converge. In addition, it may be difficult to determine the appropriate/optimal values for some extra parameters, such as γ'm Granik's method, which dictates the trade-off between optimizing the source for wafer image fidelity and the smoothness requirement of the source.
[020] It is an object of the invention to provide an improved method for optimizing an illumination pupil shape for a lithographic process. [21] According to a first aspect of the invention, this object is reached by a method comprising the steps of
- identifying a target pattern to be imaged by said lithographic process,
- identifying at least one optimization point in said target pattern,
- identifying at least one design for manufacturing metric per optimization point, - selecting a set of illumination source points based on the identified at least one design for manufacturing metric and determining the illumination pupil shape based on the selected set of illumination source points.
[022] According to a further aspect of the invention, a computer readable medium is provided, bearing a computer program for optimizing an illumination pupil shape, the computer program, when executed, causing a computer to perform the steps of the method according to the first aspect of the invention.
[023] According to an aspect of the invention a method of producing a diffractive optical element is provided, comprising - determining an illumination pupil shape according to any of the claims 1 to 11 ,
- producing a diffractive pattern on the diffractive optical element based on the determined illumination pupil shape.
[024] The present invention relates to a method which allows for a computation efficient technique for performing illuminator/source optimization.
[025] More specifically, the present invention provides several methods for efficient illuminator optimization which can be implemented with the use of programmable illuminator mask. In one embodiment, all the illumination source points are ranked according to the DFM metrics and the best illumination source points are selected to form an illumination source map. As the illumination source points are mutually incoherent from each other, and in this embodiment, the DFM metric is assumed to be non-interferential with each other between the different source points, the source points necessary for optimal illumination can be selected one by one, starting from the source point having the highest DFM metric, and proceeding downward. In an embodiment the DFM metric is edge slope and it is only necessary to select a few source points that provide the highest edge slopes for the critical circuit locations. [026] In another embodiment of the present invention, the illumination optimization is combined with polynomialization of a transmission cross coefficient. After selecting the source points that provide the highest edge slope, a small amount of additional illumination source points are selected that have the largest defocus coefficients opposite to the sign of the already-selected illumination source points, so to cancel out the defocus sensitivity. As explained further below, this approach will further enlarge the resulting process window.
[027] The present invention provides significant advantages over prior art methods. Different from traditional approaches, the method disclosed herein allows for the use of a programmable illuminator mask and for the design of optimal patterns for the illuminator mask according the design target. Using a programmable mask it is possible to derive the most general shaped illuminators. Most importantly, the present invention provides a computational efficient illuminator optimization process. The present invention is substantially guaranteed to achieve a global optimum. Further, the present invention does not rely on the assumption of source distribution uniformity or the choice of some extra parameters, (e.g., parameter γ in Granik's method) as required by some prior art methods. In an embodiment, the optimization process of the present invention also substantially cancels out defocus sensitivity thereby enlarging the resulting process window of the imaging process.
Brief Description of the Drawings
[030] Fig. 1 is an exemplary block diagram illustrating a typical lithographic projection system. [031] Fig. 2 is an exemplary block diagram illustrating the functional modules of a lithographic simulation model.
[032] Figs. 3-5 illustrate exemplary flowcharts regarding methods of simulating imaging performance which account for process variations associated with a given lithography process. [033] Fig. 6 is a flowchart illustrating a first exemplary embodiment of the process for optimizing the illumination source.
[034] Fig. 7 is an exemplary flowchart illustrating a second exemplary embodiment of the process for optimizing the illumination source.
[035] Fig. 8 is an exemplary flowchart illustrating another variation of the illumination optimization process.
[036] Fig. 9 is an exemplary flowchart illustrating another variation of the illumination optimization process.
[037] Fig. 10 is an exemplary flowchart illustrating another variation of the illumination optimization process. [038] Fig. 11 is an exemplary flowchart illustrating the joint optimization of the illumination source and the mask in accordance with an embodiment of the present invention.
[039] Fig. 12 is a block diagram that illustrates a computer system which can assist in the implementation of the simulation method of the present invention.
[040] Fig. 13 schematically depicts a lithographic projection apparatus suitable for use with the method of the present invention.
In the drawings Al is regularly used as abbreviation for aerial image intensity (I).
Detailed Description [041] Prior to discussing the present invention, a brief discussion regarding the overall simulation of (a part of) the lithographic process, especially the imaging process, is provided. Fig. 1 illustrates an exemplary lithographic projection system 10 of a lithographic projection apparatus in use. The exemplary lithographic exposure system 10 comprises a radiation source 12, which may be a deep-ultraviolet excimer laser source, illumination optics which define the partial coherence (denoted as sigma) and which may include specific source shaping optics (14, 16a) and projection optics (16b, 16c). An adjustable filter or aperture 20 at the pupil plane restricts the range of beam angles that impinge on the image plane 22, whereby the largest possible angle defines the numerical aperture of the projection optics NA=sin(Θmax). In use there is a patterning device such as a mask or reticle 18 and there is a substrate, such as a wafer, at the image plane 22. The projection optics (16b, 16c, 20) are used to produce an image of a mask pattern on the patterning device at the image plane 22.
[042] In a lithography simulation system, roughly, these major system components of the lithographic exposure system are modeled by corresponding separate functional modules. In Fig. 2 an example is illustrated. Referring to Fig. 2, the functional modules include
• a design layout module 26, which defines the target design;
• a patterning device layout module such as a mask layout module 28, which defines the mask layout to be utilized in an imaging process step that will follow later;
• a patterning device model module such as a mask model module 30, which models the utilization of the mask layout during the simulation process;
• the optical model module 32, which defines the performance of the optical components of the modeled lithographic exposure system; and
• the resist model module 34, which defines the performance of the resist being utilized in the given process. • As is known, the result of the simulation process produces, for example, predicted contours and CDs in the result module 36.
[043] More specifically, it is noted that the properties of the illumination and projection optics are captured in the optical model module 32 that includes, but is not limited to, NA-sigma (σ) settings as well as any particular illumination source shape. The optical properties of the photo-resist layer coated on a substrate - i.e. refractive index, film thickness, propagation and polarization effects — may also be captured as part of the optical model module 32. The mask model module 30 captures the design features of the reticle and may also include a representation of detailed physical properties of the mask, as described, for example, in US patent application No. 60/719,837 and in EP1941321. Finally, the resist model module 34 describes the effects of chemical processes which occur during resist exposure, PEB and development, in order to predict, for example, contours of resist features formed on the substrate wafer. The objective of a simulation using the lithography simulation system is to accurately predict, for example, edge placements and CDs, which can then be compared against the target design. The pre-OPC mask layout (i.e. the mask layout before any optimization is performed) is generally equal to the target design, and will be provided in a standardized digital file format such as GDSII or OASIS. [044] In general, the connection between the optical and the resist model is a simulated aerial image (Al) within a resist layer modeled to be on the substrate and in the image plane 22, which arises from the projection of radiation onto the substrate, refraction at the resist interface where the radiation enters the resist and multiple reflections in the resist layer (resist film stack). The projection radiation can for instance comprise EUV radiation between 3 and 20 nm or radiation with a wavelength of 157 or 193 nm or larger. The radiation intensity distribution of the aerial image is turned into a latent 'resist image' by absorption of photons, which is further modified by diffusion processes and various loading effects (hence the resist model module 34) into a final resist image. Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3-dimensional intensity distribution in the resist film stack by a 2-dimensional aerial (and resist) image. An efficient implementation of a lithography model is possible using the following formalism, where the aerial image intensity (here in scalar form, which may be extended to include polarization vector effects) is expressed as a Fourier sum over signal amplitudes in the pupil plane. In the implementation the aerial image intensity is expressed to depend on image of the patterning device (mask image) which itself is derived from the mask layout, then according to the standard Hopkins theory, the aerial image intensity may be defined by:
Figure imgf000018_0001
(Eq.1)
where, I(x) is the aerial image intensity at point x within the image plane 22 (for notational simplicity, a two-dimensional coordinate represented by a single variable is utilized), k represents a point on the source plane, A (k) is the source amplitude from point k, k' and k" are points on the pupil plane, M is the Fourier transform of the mask image), P is the pupil function, and TCC is a transmission cross coefficient, defined as An important aspect of the foregoing derivation is
Figure imgf000018_0002
the change of summation order (moving the sum over k inside) and indices (replacing k' with and replacing k" with k+ k"), which results in the separation of the Transmission Cross Coefficients (TCCs), defined by the term inside the square brackets in the third line in the equation. These coefficients are independent of the mask pattern and therefore can be pre-computed using knowledge of the optical elements or configuration only (e.g., NA and σ or the detailed illuminator profile). It is further noted that although in the given example the aerial image intensity l(x) in (Eq.1) is derived from a scalar imaging model, this formalism can also be extended to a vector imaging model, where TE and TM polarized radiation components are summed separately.
[045] Furthermore, the aerial image intensity can be approximated by using only a limited number of dominant TCC terms, which can be determined by diagonalizing the TCC matrix and retaining the terms corresponding to its largest eigenvalues, i.e.,
Figure imgf000019_0001
(Eq.2) where denotes the N largest eigenvalues and denotes the
Figure imgf000019_0003
Figure imgf000019_0004
corresponding eigenvector of the TCC matrix. It is noted that (Eq.2) is exact when all terms are retained in the eigenseries expansion, i.e., when N is equal to the rank of the TCC matrix. However, in actual applications, it is typical to truncate the series by selecting a smaller N to increase the speed of the computation process. Thus (Eq.1) can be rewritten as:
Figure imgf000019_0002
where and denotes the magnitude of a complex
Figure imgf000020_0001
number.
[046] Using a sufficiently large number of TCC terms and a suitable model calibration methodology allows for a sufficiently accurate description of the optical projection process and provides for 'separability' of the lithographic simulation model into the optics and resist models or parts. In an ideal, separable model, all optical effects such as NA, sigma, defocus, aberrations etc. are accurately captured in the optical model module, while chemical processes are simulated by the resist model. In practice, however, all 'efficient' lithographic simulation models (as opposed to first-principle models, which are generally too slow and require too many adjustable parameters to be practical for full-chip simulations) are empirical to some extent and will use a limited set of parameters. There may in some cases be 'lumped' parameters that account for certain combined net effects of both optical and chemical resist properties. For example, diffusion processes during PEB of resist can be modeled by a Gaussian filter that blurs the image formed in resist, while a similar filter might also describe the effect of stray radiation, stage vibration, or the combined effect of high-order aberrations of the projection system. Lumped parameters can reproduce process behavior close to fitted calibration points, but will have inferior predictive power compared with separable models when used to adjust the lithographic process. This can easily be understood as in the example above changing the projection system aberrations based on the lumped parameter may be detrimental as the lumped parameter does not only represent the projection system aberrations but also the stage vibration. Separability typically requires a sufficiently detailed model form - in the example above, e.g., using 2 independent filters for optical blurring and resist diffusion - as well as a suitable calibration methodology that assures isolation of optical effects from chemical resist effects.
[047] While a separable model may generally be preferred for most applications, it is noted that the description of through-process window aerial image variations set forth below does not require strict model separability. Methods for adapting a general resist model in order to accurately capture through-PW variations are also provided in US patent applications No. 60/992,546 and 12/315,849 assigned to Brion Technologies. In addition, the TCC can be approximated by a polynomial for each process window or generalized process window coordinates, such as focus, exposure dose, NA, etc. For each coordinate, the polynomial coefficients correspond to the derivative TCC matrices with respect to that process window coordinate, as also described in US patent applications No. 60/992,546 and 12/315,849. [048] As explained in further detail below, the objective of the illumination optimization process of the present invention is, for a given target design, to generate an illumination pattern such that the resulting aerial image (Al) has the largest process window (PW) or best Design For Manufacturing (DFM) metrics (including for example but not limited to MEEF (Mask Error Enhancement Factor), derivative of CD vs. process window parameters, or the local aerial image intensity slope). The illumination optimization process of the present invention utilizes a programmable illuminator mask and determines optimal patterns for the illuminator mask according the target design. The utilization of the programmable mask also allows for the derivation of most general shaped illuminators. Alternatively a free form diffractive optical element (DOE) is utilized. Prior to discussing the details of the illuminator optimization method, a discussion regarding simulation and modeling of the lithography process is provided.
Series Expansion/Polynomial Fitting Of Derivative Images [049] The purpose of lithography simulations in the context of OPC applications and OPC/RET verification is an accurate prediction of printed line widths and placement of resist contours by simulating the image projection process and resist development phenomena using calibrated optical and (post-exposure) process models. One aspect of the current method is to replace a multitude of individual simulations for a given mask layout at many different parameter settings that sparsely cover a process window by a very limited number of simulations for that mask layout, and to parameterize the through-process window changes in a way that allows dense coverage by smooth interpolation at any arbitrary point in the process window. [050] The basic approach of the method can be understood by considering through-focus changes in resist line width (or edge placement) of a generic resist line. It is well known that the CD of the resist line typically has a maximum or minimum value at best focus, but the CD varies smoothly with defocus in either direction. Therefore, the through-focus CD variations of a particular feature may be approximated by a polynomial fit of CD vs. defocus, e.g. a second-order fit for a sufficiently small defocus range. However, the direction and magnitude of change in CD will depend strongly on the resist threshold (dose to clear), the specific exposure dose, feature type, and proximity effects. Thus, exposure dose and through-focus CD changes are strongly coupled in a non-linear manner that prevents a direct, general parameterization of CD or edge placement changes throughout the process window space.
[051] However, the aerial image intensity (I) is also expected to show a continuous variation through focus. Every mask point may be imaged to a finite-sized spot in the image plane (22) that is characterized by the point spread function of the projection system. This spot will assume a minimum size at best focus but will continuously blur into a wider distribution with both positive and negative defocus. Therefore, it is possible to approximate the variation of aerial image intensity (I) through focus as a second-order polynomial for each individual image point within the exposure field:
Figure imgf000023_0002
(Eq.4) where indicates the nominal or best focus position, and/ is the actual focus level at which the image /is calculated. The second-order approximation is expected to hold well for a sufficiently small defocus range, but the accuracy of the approximation may easily be improved by including higher-order terms if required (for example, 3rd order and/or 4th order terms). In fact, (Eq.4) can also be identified as the beginning terms of a Taylor series expansion of the aerial image around the nominal best focus plane:
Figure imgf000023_0001
which can in principle be extended to an arbitrarily sufficient representation of the actual through-focus behavior of the aerial image intensity (I) by including additional higher-order terms. It is noted that the choice of polynomial base functions is only one possibility to express a series expansion of the aerial image intensity through focus, and the methods are by no means restricted to this embodiment, e.g., the base functions can be special functions such as Bessel Functions, Legendre Functions, Chebyshev Functions, Trigonometric functions, and so on. In addition, while the process window term is most commonly understood as spanning variations over defocus and exposure dose, the process window concept can be generalized and extended to cover additional or alternative parameter variations, such as variation of NA and sigma, etc.
[052] Comparison of (Eq .4) and (Eq.5) reveals the physical meaning of the parameters "a" and "b" as first and second-order image intensity derivatives. These may in principle be determined directly as derivatives by a finite difference method for every image point and entered into (Eq.4) and (Eq.5) to interpolate the image variations. Alternatively, in order to improve the overall agreement between the interpolation and the actual through focus variation over a wider range, the parameters a and b can be obtained from a fit using the least squares criterion of (Eq. 4) over a number L of focus positions
Figure imgf000024_0002
for which aerial image intensities are explicitly calculated as The parameters
Figure imgf000024_0001
"a" and "b" are then found as solutions to the following system of equations when applying the least squares criterion (assuming here that in which case the system of
Figure imgf000024_0003
equations is over-determined).
[053] Without loss of generality, it is assumed that/o=O so as to simplify the notation. Then for a fixed image point (x),
Figure imgf000025_0001
where I is the aerial image intensity at nominal conditions (NC), \.e.f=fo. The solution to the above set of equations minimizes the following sum of squared differences (G), with the index / referring to the L different focus conditions:
Figure imgf000025_0002
(Eq.7) where Wi is a user-assigned weight to defocus By using the set of
Figure imgf000025_0003
user-assigned weights it is possible to assign different weights to
Figure imgf000025_0004
different focuses. For example, in order to make the 2nd order polynomial approximation have a better match at process window points closer to nominal conditions, it is possible to assign a larger weight close to nominal conditions and a smaller weight away from nominal conditions; or if it is desired for all focus points to have equal importance, one can simply assign equal weights, i.e., For large deviations in focus and
Figure imgf000025_0005
dose relative to the nominal condition, many patterns become unstable in printing and the measurements of CDs become unreliable, in such cases it may be desirable to assign small weights to such process window conditions. [054] To solve (Eq.7), it is noted that the best fit will fulfill the conditions:
Figure imgf000026_0002
(Eq.8) can be solved analytically, resulting in immediate expressions for "a" and "b" as the linear combination or weighted sum of the aerial image intensities (// ) per focus condition, as shown below. The coefficients of this linear combination do not depend on the pixel coordinate or pattern, but only on the values of the focus conditions (fi}) and the user-assigned weights (Wi). As such, these coefficients can be understood as forming a linear filter for the purpose of interpolation in the space of focus condition (/), and the particular choice of polynomials as base functions gives rise to the specific values of the coefficients, independent of the mask pattern. More specifically, the calculation of these coefficients is performed once the values of focus condition (fi) and user assigned-weights (Wi) are determined, without knowing the specific optical exposure settings or actually carrying out aerial image simulations. [055] With regard to solving (Eq.8), (Eq.7) can be rewritten as:
Figure imgf000026_0001
where
Figure imgf000026_0003
As a result, (Eq.8) can be expanded as:
Figure imgf000027_0001
Figure imgf000027_0002
Thus:
Figure imgf000027_0003
(Eq.9) where
Figure imgf000028_0001
Note that:
Figure imgf000028_0002
(Eq.10)
As is made clear below, this property will be useful in the resist model section. The above set of equations can also be readily generalized to accommodate a higher-order polynomial fitting.
[056] The benefit of introducing the aerial image intensity derivatives "a" and "b" is that using (Eq. 4), the aerial image intensity can be predicted at any point of the process window by straightforward scaling of the α and b aerial image intensities by the defocus offset and a simple addition, rather than performing a full image simulation (i.e., convolution of the mask pattern with the TCCs) at each particular defocus setting required for a process window analysis. In addition, changes in exposure dose can be expressed by a simple upscaling or downscaling of the aerial image intensity by a factor {1+ε):
Figure imgf000029_0002
(Eq.11) where is the aerial image at the nominal exposure dose, while ε is the relative change in dose.
[057] Combining this with (Eq.4) yields the general result:
Figure imgf000029_0001
(Eq.12) where will typically represent small perturbations within a reasonable range of process window parameter variations.
[058] The foregoing method is exemplified by a flow diagram in Fig. 3 where the contours, CD or Edge Placement Errors (EPEs) are to be extracted from the aerial image at different defocus conditions. Referring to Fig. 3, the first step (Step 40) in the process is to identify the target design (or (pre-OPC) mask layout) and the process conditions to be utilized. The next step (Step 42) is to generate a nominal aerial image intensity Jo and L aerial images intensities {//} at different focus conditions in accordance with (Eq.3) above. Thereafter, derivative images "a" and "b" are generated utilizing (Eq.9) (Step 43). Then step 440 covers the process window analysis comprising steps 44, 46 and 48. Step (Step 44) entails generating the defocus image utilizing (Eq.4), i.e., the synthesis of /o, a (scaled by/) and b (scaled by/). Next, contours are extracted and CDs or feature EPEs are determined from the simulated image (Step 46). The process then proceeds to Step 48 to determine whether or not there is sufficient coverage (e.g., whether it is possible to determine the boundary of the process window) and if the answer is no, the process returns to Step 44 and repeats the foregoing process. If there is sufficient coverage, the process is complete. [059] It is noted that • if a sufficient coverage of the process window requires evaluation at a number of process window points, and • if the number of images at different focus conditions L used for fitting the derivative images a and b is smaller than that number of process window points, the reduction in computation time will be close to the number of images at different focus conditions L divided by the number of process window points to be evaluated.
This is because since scaling the predetermined images
Figure imgf000030_0001
a and b requires significantly less computation time than an independent re-calculation of the projected image at each new parameter setting. The foregoing method is generally applicable, independent of the specific details of the aerial image simulation. Furthermore, it is also applicable to both the aerial image as well as to the resist image from which simulated resist contours are extracted.
[060] The foregoing method also does not depend on any specific model or implementation used for simulating the set of aerial image intensities
Figure imgf000031_0002
at varying defocus. However, the foregoing method requires a number L>2 of individual images to be simulated for each mask layout under consideration. In a second embodiment of the method of the present invention, an even more efficient solution is made possible by the TCC formalism introduced in (Eq.1).
[061] Whereas the aerial image intensity is expressed in focus (because in the image plane 22) in Equation 1 , the transmission cross coefficients in this embodiment encompass the focus condition completely. (Without that the mask image M in the Fourier domain would have to be modelled differently for different focus conditions.) In this embodiment in a modified version of Eq 1 , each aerial image intensity at focus^ (/=0,1 ,...,
L) is defined as:
Figure imgf000031_0001
where TCC/ is the TCC at focus condition f1 and
Figure imgf000031_0003
is the matrix element of TCC/, and M(°) represents the mask image (in the Fourier domain), which is independent of the focus. Combining this with (Eq.9) and exchanging the order of summation,
Figure imgf000032_0001
(Eq.13)
Thus, if two new TCCs are defined as linear combinations of the focus dependent TCC/
(/=0,1 L) in the following way:
Figure imgf000032_0002
(Eq.14) then "a" and "b" are aerial image intensity derivatives which can be computed directly from A and B like "derivative images", i.e.,
Figure imgf000032_0003
(Eq.15)
where A are the
Figure imgf000032_0004
matrix elements of A and B, respectively.
[062] This also implies that a linear combination of aerial image intensities of different planes can be computed using a single linear combination of TCCs corresponding to those planes.
[063] A significant advantage of using TCC0, A, and β in place of the L through-focus images is that the TCCo, A, and B ean be pre-computed, independently of the actual mask pattern (mask layout), for known illumination and projection parameters, giving rise to the possibility of further reduction of computing time (down from L through-focus simulations for each mask pattern (mask layout)). This is because the TCC for the image plane 22 (TCC0) is determined without knowledge of the actual mask pattern. The effect of the focus condition is modeled to be in the transmission cross coefficient and the Fourier coefficient of the mask image is modeled to be independent of the focus condition. It will be clear to the skilled person that other variants are possible as well. In an analogue way, the generation of A and S neither requires computation of a set of aerial image intensities at different defocus conditions nor requires calibration from this set of aerial image intensities. Once TCC0, A, and B have been calculated, these terms can be generally applied to predict the through-focus imaging performance for any specific mask layout using (Eq.15) and (Eq.4). Besides the through-focus variation, a variation of exposure dose around nominal condition can be applied to the TCC terms by the same linear scaling as already described by (Eq.11) and (Eq.12) above.
[064] Calculating the derivative images a and b from TCCs, A and S allows a further reduction of computation time by using only the dominant terms of A and B, as in the discussions related to (Eq.2). More specifically, assuming the diagonalization of TCCo, A and B is:
Figure imgf000034_0001
(Eq.16) where /
Figure imgf000034_0005
denotes the NQ largest eigenvalues and denotes the
Figure imgf000034_0006
corresponding eigenvector of the TCC matrix denotes the NA
Figure imgf000034_0007
largest eigenvalues and
Figure imgf000034_0008
denotes the corresponding eigenvector of the TCC matrix A; and
Figure imgf000034_0010
denotes the NB largest eigenvalues and denotes the
Figure imgf000034_0009
corresponding eigenvector of the TCC matrix B. [065] Then, from (Eq.3), for mask image
Figure imgf000034_0011
,
Figure imgf000034_0002
where /o is the nominal aerial image,
Figure imgf000034_0004
Figure imgf000034_0003
[066] Utilizing a larger number of TCC terms generally improves the accuracy of the optical model and the separability of optical and resist model components (as explained previously). However, since the image or TCC derivatives relate to relatively minor image variations within the process window, typically on the order of 10% in CD variation, a smaller number of terms may suffice for the A and B terms than for the Nominal Condition TCC0. For example, if 64 terms are considered for TCC0, (i.e., N0 = 64), only 32 terms are typically required for each of the A and B terms in order to achieve sufficient CD prediction accuracy, i.e., NΛ = NB = 32. In this case, approximately the same amount of computation time will be required to generate the derivative images a and b as compared to the nominal condition I0. It is noted that, unlike the original TCC matrices, a coefficient TCC matrix such as A or B is in general not non-negative-definite, which implies both positive and negative eigenvalues exist for a derivative TCC matrix. Therefore, the leading terms from the eigen value expansion and truncation should include all eigenvalues with the largest absolute values, both positive and negative.
[067] Similar to (Eq.5), (Eq.14) can be derived alternatively from series expansion. More specifically, the variation of TCC matrix elements around nominal or best focus/ø may also be expressed as a series expansion:
Figure imgf000035_0001
[068] Thus, the coefficients of the series expansion may be evaluated directly by a numerical finite difference method, or again from a fit using the least-squares criterion to a number of individually calculated TCC terms corresponding to a set of focus positions, in a manner similar to the through-focus fitting of aerial images discussed above. The fitting approach provides a wider range of validity, and introduces weight factors to place more or less emphasis on certain parts of the process window. This approach will follow (Eq.6)-(Eq.9) after replacing the set of test images // by their corresponding TCCs in the equations.
[069] Consequently, the best fit derivative matrices A and B are obtained from the same linear combination set forth above, also after formally replacing the // by TCC/:
Figure imgf000036_0001
(Eq.19)
where ha! and hb! are again computed using (Eq.9). It is noted that and are
Figure imgf000036_0002
Figure imgf000036_0003
constants that do not depend on the patterns or TCC/. Thus, A and B are simply a linear combination of the TCC at the nominal condition (here in focus) (TCCo) and a set of TCCs at various defocus conditions (TCC-i through TCCL). [070] Note that (Eq.19) is the same as (Eq.14), thus these two alternative approaches lead to the same final formulation. Similarly, (Eq.4) can also be derived from (Eq.15), (Eq.18), and (Eq.19).
[071] The method of the second embodiment is exemplified by the flow diagram in Fig. 4 where the contours, CD or Edge Placement Errors (EPEs) are to be extracted from the aerial image at different defocus conditions. The first step (Step 50) in the process is to identify the process specific optical conditions associated with the desired process. The next step (Step 52) is to generate a nominal condition TCCo and a set {TCC/} of transmission cross coefficients at a number L of defocus positions. Thereafter, the derivative TCCs (A,B) are generated utilizing (Eq.14) (Step 54). The next step (Step 58) generates images Jo1 a, b by convolution of the mask image with TCCo, A and B utilizing (Eq.17). Next, for each mask design (Step 56), defocus image is synthesized utilizing (Eq.4) (Step 60), thereby generating the simulated image. Next, contours are extracted and CDs or feature EPEs are determined from the simulated image (Step 62). The process then proceeds to Step 64 to determine whether or not there is sufficient coverage to determine the boundary of the process window and if the answer is no, the process returns to Step 58 and repeats the foregoing process at a different focus condition. If there is sufficient coverage, the process proceeds to Step 66 to determine if the image produced by the mask design is within allowable error tolerances, and if so, the process is complete. If not, the process returns to Step 56 so as to allow for adjustment and redesign of the mask. It is noted that this last step is an optional step in the process.
[072] In the flowchart of Fig. 4, the diagram shows the process window analysis embedded within a 'mask variation loop' which may be required, in particular, for iterative, process window-aware OPC modifications of an initial mask design. In this situation, any improvement in computation speed for the through-process window image assessment will be especially beneficial.
[073] In an embodiment, additional reduction in computation time are be achieved by further suitable assumptions or a priori knowledge about the physics of the optical system. For example, in the absence of strong aberrations, it can be expected that the through-focus variation of aerial image intensities will be an even (i.e. symmetrical) function of defocus. Therefore, it can be expected that the first-order derivatives "A" and "a" will be negligible under these conditions.
[074] This simplification can be further justified by noting that the effect of defocus corresponds to a multiplication of the pupil function by a phase factor
Figure imgf000038_0004
where the nominal focus is at For small defocus the phase shift can be
Figure imgf000038_0005
approximated by a Taylor expansion: which does not contain a linear
Figure imgf000038_0003
term.
[075] All the above methods may also be extended to a generalized process window definition that can be established by different or additional base parameters in addition to exposure dose and defocus. These may include, but are not limited to, optical settings such as NA, sigma, aberrations, polarization, or optical constants of the resist layer (whose effects on the imaging process are included in the optical model, i.e. the TCCs). As one example, including a variation of NA around nominal conditions, the aerial image intensity can be expressed as:
Figure imgf000038_0001
(Eq.20) where
Figure imgf000038_0002
are 2-dimensional images and image derivatives, respectively. The additional parameters "c", "d", and "e" can be determined by a fit using the least squares criterion to a set of simulated images or a set of simulated TCCs at varying parameter values for f and NA, while the scaling with exposure dose as in (Eq.11) and (Eq.12) still applies. It is noted that, similar to (Eq.9), these parameters (a, b, c, d, and the cross-term coefficient e) are again a linear combination of aerial image intensities The
Figure imgf000039_0003
coefficients of this linear combination do not depend on the pixel coordinate or pattern, but only on the values of the
Figure imgf000039_0001
, and/or the user-assigned weights
Figure imgf000039_0002
[076] For this generalized process window model, simplifications based on physical insight are also possible. In case of NA variations, for example, it can be expected that these will have a rather monotonous, linear effect on the image variations, in which case (Eq.20) can be simplified by dropping the higher order "d" and "e" terms in NA, possibly in addition to the linear term in defocus. Also, for any generalized process window definition, the number of TCC terms used for calculating I0 at the nominal condition need not be the same as the number of terms used for calculating image variations from the TCC derivatives A, B,... . A sufficiently accurate description of minor image variations due to small parameter variations around the nominal condition may be achieved with a large number of terms for /0 and a significantly smaller number for the derivatives, in order to reduce the overall computation time. [077] For simplicity purposes, the following discussion will be based on defocus and exposure dose. However, it should be noted that all the disclosures herein can be extended to a generalized process window with other parameters such as NA, sigma, aberrations, polarization, or optical constants of the resist layer, as illustrated in (Eq.20). [078] In the embodiments set forth above, analytic expressions for the aerial image intensity in the vicinity of best focus for a range of process window parameters were developed. The following descriptions derive similar expressions and methods to calculate the resist image, which forms the basis for extraction of simulated resist contours across the process window.
Separable, linear resist model
[079] Although the response of photoresist to illumination by the projected aerial image may be strongly nonlinear, having a thresholding behavior, many processes occurring in the resist layer, such as diffusion during PEB, can be modeled by convoluting the aerial image intensity with one or more linear filters before applying the threshold. Such models will be generally referred to as 'linear' resist models, and the latent resist image for such models may be expressed schematically as:
Figure imgf000041_0001
(Eq.21)
here, P[ } denotes the functional action of applying a linear filter (i.e. generally a convolution), while Rb is a mask loading bias that is independent of the aerial image. The resist threshold is understood to be included in Rb such that resist contours correspond to locations where R(x)=0.
[080] Applying this model to the general, scaled, interpolated aerial image intensity derived above, i.e., (Eq.12, assuming/o=O without loss of generality), results in
Figure imgf000041_0002
(Eq.22) where Ro is the resist image at a Nominal Condition (NC). All corrections due to changes in exposure dose and focus (or, other process window parameters) are derived by applying the same filter to the derivative images a, b as to the image I0 at the nominal condition (NC), and simple scaling and summation of the correction terms.
[081] Moreover, the effect of a linear filter may be included in the imaging TCC formalism, since the convolution with a filter in the space domain is equivalent to a multiplication with the filter's Fourier series components in the frequency domain. Starting from an aerial image expression (Eq.1):
Figure imgf000042_0001
It is shown that the
Figure imgf000042_0008
T matrix element at k', k" contributes to the
Figure imgf000042_0007
frequency component oU
Figure imgf000042_0006
by the amount Therefore, a resist image defined
Figure imgf000042_0005
by: where g(χ) is a spatial filter with the Fourier transform being G(k), can be expressed as:
Figure imgf000042_0002
with a new TCC matrix defined as
Figure imgf000042_0003
[082] With this procedure, the linear filter is incorporated into the bi-linear TCC matrix, so all the computational procedures applicable to a purely optical aerial image may be applied to the linearly filtered aerial image. This property allows a significant reduction in overall computation time, since the complete resist image can be generated by a single evaluation of (Eq.1), with the only modification of adding weight factors corresponding to the Fourier coefficients of the filter P. For any given mask design input, this formulation would allow to generate directly, in one pass each, the images P[Io), P {a}, P{b} from the pre-computed, filter-adjusted TCC0, A, and B matrices. (Eq.22) then defines the actual resist image for any arbitrary process window point as a linear combination of these three images. Non-separable, linear resist model
[083] In the preceding discussions, it was implicitly assumed that all parameters of the linear filters establishing the resist model are constant across the variations of the process window parameters. This equates to one condition for an overall separable lithography model: resist model parameters are independent of optical model parameters. A pragmatic test for separability is the ability to accurately calibrate the model and to fit test data across the complete extent of the process window. In practice, the semi-empiricai nature of models suitable for full-chip lithography simulation may preclude perfect separability and may require resist model parameters that are allowed to vary with process window parameters such as defocus, NA or sigma settings. For a physically motivated model, it should be expected (or required as a constraint), though that the model parameters vary smoothly under variation of the process window variables. In this case, the series expansion of the resist image may include derivative terms of the resist model parameters.
[084] For illustration purposes, consider defocus as the only process window parameter. If the linear resist model is equivalent to a convolution with a linear filter, (or a multitude of linear filters), a separable model may be described by:
Figure imgf000043_0001
while a non-separable model may require an explicit f-dependence of the filter
Figure imgf000044_0003
(Eq.24)
[085] Now, considering through-focus changes, a pro-forma series expansion may be applied to (Eq.24), for illustration herein only up to first order:
Figure imgf000044_0001
(Eq.25) where
Figure imgf000044_0002
(Eq.26)
[086] If the resist model parameters are found to vary continuously across the process window space, similar series expansion and fitting as introduced above for the aerial image intensity (I) and transmission cross coefficients (TCC) can be applied to the resist model parameters during model calibration. In this case a linear, derivative filter ap can be calculated and be used in (Eq.25), which may also be extended in a straightforward way to include higher-order terms. In this situation, resist model parameters as well as aerial image variations are smoothly interpolated across the complete process window area. Both P and ap can be determined in a through-process window model calibration step based on experimental wafer data from test or gauge patterns.
[087] However, even if resist model parameters appear to vary non-monotonously across the process window (PW), any piece-wise interpolation in between calibration points could provide 'best-guess' resist model parameters for arbitrary process window (PW) points.
General Resist Model
[088] For a general resist model that may include nonlinear operations such as truncations of the aerial or resist image, the straightforward separation into nominal condition and derivative terms, as shown in (Eq.22) will be no longer valid. However, there are three alternative methods to deal with the non-linear operations.
i) Associated Linear Filter
[089] First, it is assumed that the general variation of the resist image through the process window (PW) can be approximated formally by the second line in (Eq.22), with the reinterpretation that the linear filter P{ } will no longer correctly describe the resist model at the normal condition (NC). Instead, linear filter P{ } will be chosen to reproduce the best representation of differential resist image changes relative to the nominal condition (NC). While a nonlinear model may ensure the most accurate model fitting at the nominal condition (NC), it may require significantly more computation time than a linear model. By relying on such an associated linear filter to emulate the differential through-process window behavior, only a single evaluation of the nonlinear model will be required to generate Ro(χ), while process window analysis at a multitude of process window conditions can be based on more efficient evaluation of the linear filters P{/o}, P{a}, P{b).
[090] The coefficients of the nominal condition resist model as well as of the associated filter may be determined from a unified model calibration procedure based on calibration test patterns and wafer gauge data covering pattern variations and process window variations, as an extension of the method described in US patent application No. 60/719,837 and EP1941321.
[091] Further, once a valid unified PW model (FEM) has been generated and calibrated in the manner set forth in US patent application No. 60/719,837 or EP1941321 , it will provide the best prediction of through-PW changes of the resist image. The parameters of the optimum associated filter may then be determined by minimizing the overall (RMS (root mean square)) difference between the simplified model using the associated filter and the complete, calibrated model, without any need for additional experimental calibration data.
[092] Using the full model, for any suitable number and range of test structures, including e.g. 1-D (line/space) and 2-D (line ends etc) patterns, 'correct' resist images and contours can be simulated for any number of PW points. In addition, the values of the derivative images a and b can be calculated in the vicinity of the resist contours. For each pattern, the change of R(x) through-PW will be calculated at pattern-specific gauge points, e.g. the tip of a line for a line-end test pattern, or along any point of the NC resist contour. At each of these evaluation points x, through
Figure imgf000047_0002
(Eq.27)
since x, is assumed to be on a resist contour, where
Figure imgf000047_0001
AR(X1, ε,f) should be well approximated by
Figure imgf000047_0003
(Eq.28)
[093] Therefore, the optimal associated filter will minimize the sum of squared differences between (Eq.27) and (Eq.28), and can be determined by a variety of known optimization algorithms. It is noted that evaluation of (Eq.27) and (Eq.28) during the associated filter fitting should be performed at resist contours, so that the resulting filter most closely reproduces changes close to edge positions. Performance of the associated filter -in terms of accurately predicting changes in the resist image level- far away from edge positions is generally not required. After this fitting routine, the full-PW behavior of the resist images is again described as
Figure imgf000047_0004
(Eq.29)
where the filtered differential images can be efficiently calculated within the TCC formalism, the
Figure imgf000047_0006
constitutes relatively small perturbations, and the resist images at any arbitrary point can be predicted from a simple linear combination of the four images
Figure imgf000047_0005
H) Embedded Linearization
[094] The above approach presents a linearized filter (i.e., the associated filter) which is optimal in that it is the single linear filter which minimizes the (RMS) difference for all pattern-specific gauge points or along any point of the NC (Nominal Condition) resist contour. Next, an alternative approach is discussed which incorporates resist model linearization in the computation of derivative resist images.
[095] More specifically, after obtaining α and b in (Eq.2), the goal becomes identifying R0, Ra and Rb such that their linear combination (assuming that f0=0 without loss of generality)
Figure imgf000048_0002
(Eq.30) is the best fit for
Figure imgf000048_0003
(Eq.31) over a number of focus
Figure imgf000048_0001
with possibly a set of weights
Figure imgf000048_0004
where R0 is the resist image at NC. (Eq.31) is essentially applying the resist model R[-} to the aerial image expressed in (Eq.2). It is noted that the resist model
Figure imgf000048_0009
may be non-linear, thus Ra and Rb are not necessarily
Figure imgf000048_0008
and
Figure imgf000048_0007
} or saά
Figure imgf000048_0005
Figure imgf000048_0006
[096] As such,
Figure imgf000049_0001
(Eq.32)
where and h
Figure imgf000049_0007
are coefficients defined in (Eq.9). The coefficients only depend on
Figure imgf000049_0006
Figure imgf000049_0008
Figure imgf000049_0004
and possibly weights
Figure imgf000049_0003
and they are independent of
Figure imgf000049_0005
[097] In general, the resist model R{-} can be separated as:
Figure imgf000049_0002
(Eq.33)
where is a mask loading bias that is independent of the aerial image l(x) or focus, P{} is the linear filter operation and
Figure imgf000049_0009
is some non-linear operation.
[098] Combining (Eq.32) and (Eq.33),
Figure imgf000050_0001
(Eq.34)
[099] As discussed previously, since is a linear operation, then
Figure imgf000050_0003
Figure imgf000050_0002
(Eq.35)
[100] As expected, it is possible to derive the following result with the aid of (Eq.9) and (Eq.10) set forth above,
Figure imgf000051_0001
(Eq.37)
[101] The benefits of this approach are that it does not attempt to capture the differential through-PW behavior for ail gauge points using a single linear filter. Rather, this approach minimizes the (RMS) difference for each pixel, thereby improving the overall accuracy. In addition, this approach does not require identification of pattern-specific gauge points or all NC resist contour neighboring points. One drawback is that this approach slightly increases the computation complexity for Ra and Rb. However, since the synthesis of through-PW resist images only require scaling and additions of
Figure imgf000052_0005
and
Figure imgf000052_0006
, the increase in the computation complexity of the derivative images is generally insignificant compared to the reduction in computation complexity of through-PW resist images, especially for dense PW sampling.
Hi) Polynomial Approximation of Non-Linear operations
[102] In a third approach, non-linear resist model operations are approximated using polynomials. More specifically, for truncation operations on image I(x), for the purpose of emulating acid and base reaction effects, quadratic polynomials of the image provide a sufficient approximation. Another typical non-linear operation, the linear filtering of the image slope, can be expressed precisely as the linear filtering of a quadratic function of the image gradient
Figure imgf000052_0002
thus the quadratic polynomial of the aerial image I(x) itself. More specifically, letting } be the gradient operation and the linear filter be
Figure imgf000052_0003
Figure imgf000052_0004
then this non-linear operation can be expressed as:
Figure imgf000052_0001
(Eq.38)
[103] To summarize, the resist image from aerial image I(x) can be approximated as:
Figure imgf000053_0001
(Eq.39)
[104] Once again,
Figure imgf000053_0002
represents the linear filter for the aerial image term,
Figure imgf000053_0003
represents the linear filter for the aerial image square term, and
Figure imgf000053_0004
represents the linear filter for the aerial image gradient term, while
Figure imgf000053_0007
is a mask loading bias that is independent of the image pattern. Thus the resist image is expressed as a 4fh-order polynomial of the defocus value. However, in a typical application,
Figure imgf000053_0005
and
Figure imgf000053_0006
are very small and cay be ignored to improve the computational efficiency.
[105] As noted above, the goal of lithography design verification is to ensure that printed resist edges and line widths are within a pre-specified distance from the design target. Similarly, the size of the process window -exposure latitude and depth of focus — are defined by CDs or edge placements falling within the specified margin. The various methods outlined above provide very efficient ways to determine the change of resist image signal level with variation of focus and exposure dose or other, generalized PW parameters. Each method resulted in an approximate expression of through-PW resist image variations AR as perturbation of the NC (Nominal Condition) image R0.
[106] In order to relate these changes in R(x) to changes in edge placement, in most cases a first-order approximation will suffice, due to the small CD or edge placement tolerances. Therefore, the lateral shift of any resist contour (R=O) (i.e., the edge placement change) is simply approximated by the image gradient G at the original (i.e. NC) contour location and the change in resist image level AR due to variation of focus, dose, etc. as:
Figure imgf000054_0002
(Eq.4O)
where both the initial contour location and the gradient are determined from the resist image at NC, i.e. The 2-dimensional edge shift can be calculated separately in the
Figure imgf000054_0005
x and y direction by the partial image derivative in each direction, or as an absolute shift using an absolute gradient value, i.e. the geometrical sum of
Figure imgf000054_0006
and
i.e., the absolute gradient value
Figure imgf000054_0004
Figure imgf000054_0003
[107] From the foregoing explanation, the edge shift can be directly expressed as a function of the differential images defined above: ^
Figure imgf000054_0001
(Eq.41)
while changes in CD or line widths can be determined from adding the individual edge placement shifts on either side of a line, resulting generally in Clearly,
Figure imgf000055_0003
(Eq.41 ) will be able to reproduce the typical 2nd order-like through-focus behavior of CD or EPE curves. More importantly, after the set of images such as
Figure imgf000055_0001
has been calculated, which may be accomplished with only ~1x more computation than simulating the single image at NC (assuming that fewer TCC terms are required for sufficient accuracy on the differentials), (Eq.41 ) may be applied to map out analytically the complete PW for every single edge position on a design, without the need for any further time-consuming image simulation. A generic flow diagram to illustrate this method is provided in Fig. 5.
[108] Referring to Fig. 5, the first step (Step 80) entails defining the process specific parameters associated with the lithography process and system that will be utilized in the imaging process. Thereafter, derivative TCCs A and B are generated utilizing (Eq.14) (Step 82). In Step 84, calibration test data is acquired for multiple process window conditions. In Step 85, model parameters for Ro{} and/or associated filter P{} are determined utilizing in part the results of Step 82. Next, the target mask pattern or design is defined (Step 86). The process then proceeds to generate images such as R
Figure imgf000055_0002
P{ά} and P{b} in Step 88. Next, the simulated image is synthesized, NC contours are extracted, and feature EPEs are determined at a given set of edge positions {x,} (Step 90). The process then proceeds to Step 92 to determine EPE or CD variations through process window at edge positions Finally, in Step 94, the results obtained in Step 92 are analyzed to determine whether the resulting image is within a predefined error tolerance, thus, determining a common process window as well as identifying any problem area (i.e., hot-spots) within the design.
Illumination Optimization
[109] The foregoing discussion was essentially directed to the method of simulating imaging performance utilizing a simulation function which accounts for process variations associated with the lithographic process. The discussion now turns to methods of optimizing the illumination source.
Range based optimization
[110] In general, the first step in the illumination optimization process is to identify the layout of the target pattern for a patterning device (such as and also referred to as the mask pattern) for which the illumination will be optimized. The next step in the process is to identify a set op optimization points within the target pattern for the patterning device for which the illumination source will be optimized. For efficiency considerations, a limited number of optimization points representing the target pattern are chosen. In an embodiment the optimization points are critical points within the target pattern for the patterning device (such as a mask pattern), which typically correspond to the features of the target pattern for the patterning device that are expected to be the most difficult to image properly (i.e., imaging within the allowed error tolerances). For example, critical points correspond to, but are not limited to, critical integrated circuit modules or cells, such as the most densely spaced cells, or alternatively, cells contained on the integrated circuits critical paths. In an alternative embodiment the optimization points are randomly distributed over the target pattern. The method according to an embodiment of the invention does not pose a restriction to the number of optimization points. For the purposes of the current embodiment, it is assumed that there are M critical points in the circuit pattern. It will be clear to the skilled person that the critical points may be identified based upon a target substrate pattern, i.e. the pattern that is the intended result of the complete lithographic process. In such a case the corresponding critical points on the target pattern for the patterning device are determined for instance by methods well known to the person skilled in the art.
[111] As explained further below, the illumination optimization process of the given embodiment also utilizes Design For Manufacture (DFM) metrics such as MEEF (Mask Error Enhancement Factor), derivative of critical dimension versus process window parameters, or the local aerial image intensity slope, etc. For the purposes of the given example, it is assumed that there are N DFM metrics under consideration.
[112] Considering the illumination pupil, it is noted that due to the band-limited nature of the projection system, the illuminator can be divided into a set of incoherent point radiation sources using a suitable grid without losing information. Each point of the illumination pupil represents a radiation source that is independent of all other points on the pupil, and each pupil point by itself is at the basis of a coherent imaging process. As such, the final total image intensity can be determined by the summation of the intensity contribution from all of the individual pupil points (i.e., the aerial image intensity can be computed by summing up the aerial image intensities corresponding to each of the point sources). Further, since this is a linear summation, the final total value of the design for manufacture metric (which can be calculated based on aerial image intensity without considering the resist exposure part) can be approximated as an (arithmetic) average of the values of the DFM metrics from the individual illumination pupil point sources. For example, there are P illumination pupil points that emit radiation onto the mask and these illumination pupil points' corresponding TCCs are denoted by TCCi TCCp, then if only the /7-th illumination pupil point with TCCp emits radiation onto the mask, the
Figure imgf000058_0005
resulting aerial image intensity \p can be computed using (Eq.1 ) or (Eq.3). Note that as the imaging system is coherent for each individual illumination pupil point, the number of eigenvalues (N) in (Eq.3) is always 1 , which makes the computation extremely simple. Then, when all these P illumination pupil points are emitting radiation, the final total aerial image intensity I can be computed as:
Figure imgf000058_0002
(Eq.42)
The DFM metric of the aerial image intensity I can be represented as Dn(I)
Figure imgf000058_0003
, then by (Eq.42) and using the approximation of the linearity of the DFM metric,
Figure imgf000058_0004
can be determined by:
Figure imgf000058_0001
(Eq.43) [113] As such, for each individually addressable point in the illumination pupil and for each of the M critical circuit points, it is possible to calculate the values of the N DFM metrics. This results in a map of NxM values of the DFM metrics for each individually addressable point in the illumination pupil. Denoting the coordinates on the illumination pupil plane as (χp,yp) (the illumination pupil point index p is replaced by its illumination pupil plane coordinate (χp,yp)), the map can be defined as Dmn(xp,yp), wherein for each (m,n), there is a map of the value of the DFM metric in the illumination pupil plane (xp,yp). In an embodiment the computation is improved by not computing the values of the N DFM metrics for every illumination pupil point, and by alternatively performing an interpolation process to determine all of the values of the N DFM metrics utilizing the computed values. It is noted however, that the determination of the NxM values for the DFM metrics is typically not a bottleneck. In a further embodiment wherein aberration data is not available, the aberration value at the nominal condition is utilized, and the aberration-sensitivity is made part of the DFM metrics.
[114] Then, based on the requirements of the fabrication process, it is possible to specify the minimum (or maximum, depending on which metric is being utilized) acceptable value of the final total image DFM metric Dmn (for each critical point (m) and each DFM metric (n)) or simply Dn (which only specifies the tolerance for each individual DFM metric but has no distinction between circuit points). Having determined a maximum or minimum acceptable value, a range of acceptable values of the DFM metric is intrinsically identified (i.e. the values below (and including) the maximum acceptable value or above (and including) the minimum acceptable value). The final total image DFM metric Dmn is the average of Dmn(xp,yp) in all open illumination pupil points (i.e., illumination points emitting radiation onto the mask). It is then straightforward to find the open area in the illumination pupil plane dictated by the requirement of each individual Dmn.
[115] More specifically, as illustrated in Figure 6, the first step in the illumination optimization process (Step 260) of the given embodiment is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized. The next step in the process (Step 262) is to identify optimization points within the target pattern (or mask pattern). In this embodiment critical points are chosen. As noted above, critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively, cells contained on the target ICs critical paths. In Step 264, the values of the DFM metrics associated with all individual source points (i.e., pupil points) are determined for each of the M critical circuit points for each of the N DFM metrics being considered. Next, in Steps 266 and 268, the process iterates over all possible critical points and all DFM metrics. Specifically, for each critical point (m) and each DFM metric (Dn), the source points are ranked according to their values for the DFM metric (Dmn) in a descending order (or ascending order, depending on the DFM metric being utilized) in Step 270. In Step 272, both the final total image DFM metric (Dmn) and the number of open pupil points (P) are initialized to 0. In Step 274, the (P+1)-th pupil point is chosen, and D and P are updated. It is then determined (Step 276) whether or not the average DFM metric value of all source points (p) currently selected is below (or above, depending on which DFM metric is utilized) the threshold for acceptable DFM metric values which was predefined based on the given fabrication process. If the average DFM metric value of the selected source points (p) is not acceptable, then the open area identification process for Dmn is complete and we will proceed to the next DFM metric (Step 278) or the next critical point (Step 280) and Steps 270-274 are repeated. If the average DFM metric value of the currently selected source points is acceptable, the pupil point is included in the illumination pattern (Step 282) by increasing P with 1 , and the process proceeds back to Step 274, in which the next source point is selected (i.e., opened), and the foregoing analysis is repeated. Thus a first set of illumination points is determined per critical point and per design for manufacturing metric. After all M critical points and all N DFM metrics are considered, a second set of illumination points is determined as the intersection of all first sets (open areas, selected or open source points). The second set defines the final illumination pupil shape (Step 284). It is noted that as a result of the foregoing process, the commonly covered area, i.e., the intersection of all open areas, will satisfy the fabrication process requirements.
Cost function based optimization
[116] In an embodiment the fabrication process does not specify individual values for DFM metric Dmn , but instead defines a cost function as a function of the DFM metric Dmn and an iteration criterion such as the total number of open (selected) pupil points. In this embodiment a non-linear optimization algorithm is applied to find the open pupil points that optimize the cost function. Typically, there is a requirement for a minimum value of the acceptable aerial image intensity (I), which is proportional to the total number of open pupil points. This can be understood by realizing that the more open points, the more radiation passes through the illumination pupil. The cost function can be defined as F For example, the cost function may be a weighted average
Figure imgf000062_0002
of the set of DFM metrics i.e.,
Figure imgf000062_0003
Figure imgf000062_0004
Figure imgf000062_0001
where are some constant weights, i.e., Lagrange multipliers. These Lagrange multipliers dictate the importance of every combination of critical point and DFM metric in the optimization process.
[117] Generally speaking, the cost function operates to map an aerial image intensity (I) to a real number and design. The illumination optimization algorithm then operates to select a given number of source points such that the cost function is optimized for the resulting aerial image intensity (I). The optimized cost function thus corresponds to the optimal illumination. Further, in order to reduce the computation complexity and emphasize a set of optimization points (such as critical points) on the target, the cost function is only computed over those optimization points. The choice of the cost function is important because it determines both the quality of the final aerial image intensity (I) and the complexity and the accuracy of the algorithm. In an embodiment the standard max-min cost criterion is used combined with the greedy algorithm (wherein choices made in earlier iteration steps are not re-evaluated in later iteration steps) which always selects the local optimum at each stage. Simulations indicate that this yields acceptable results and is fast.
[118] The cost function of the max-min criterion is defined as the minimum local gradient of the optimization points, here critical points. In addition to the coordinates of the critical points, the directions on which the gradient should be optimized are also provided. More specifically, for a point (x,y) in the (aerial) image plane 22 (note that this is different from the pupil plane point (χp,yp)), the gradient (G) of the aerial image intensity (I), which gradient is a vector, can be computed as:
Figure imgf000063_0001
where /(.) represents the aerial image intensity.
Then I represents the magnitude of the gradient, and the unit
Figure imgf000063_0002
vector
Figure imgf000063_0003
represents the gradient direction. The optimization algorithm tries to maximize the cost function in a "greedy" manner, that is, the algorithm selects the next aerial image intensity (I) that yields next best cost function value.
[119] Fig. 7 illustrates a flowchart of the illumination optimization process according to an embodiment which utilizes the aforementioned "greedy" optimization of a max-min function. The first step in the illumination optimization process (Step 170) is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized. The next step in the process (Step 172) is to identify optimization points, here critical points, within the target pattern or mask pattern. As noted above, critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths. In Step 174, the values for the DFM metric, which in the given embodiment correspond to the magnitude of the aerial image intensity gradient (G), associated with the P individual pupil points (or source points) are determined for the M critical circuit points. Next, in Step 176, the pupil point having the highest value of the DFM metric among all critical points is selected. This corresponds to selecting the source point which maximizes the minimum aerial image intensity gradient (G) among all critical points (analogue to finding a local optimum). In this way, for all critical points the minimum gradient is optimized by selecting source points. Larger gradients give larger contrast (in this case for all critical points with that selected source point). In an embodiment, the source point having the lowest value of the DFM metric among all critical points is selected. This step can be formulated as:
Figure imgf000064_0001
where represents the aerial image intensity gradient at critical point
Figure imgf000064_0002
and the aerial image intensity gradient G is associated with pupil point
Figure imgf000064_0003
Figure imgf000064_0004
The function arg min represents the argument of the minimum, i.e., the value of the given argument for which the value of the given object function achieves its minimum. (The corresponding opposite is the arg max function which represents the argument of the maximum.)
[120] Thereafter, in Step 178, the source point among the remaining source points that most improves the aerial image intensity gradient G at the worst critical point (with respect to the imaging result) is selected (i.e., opened). The process then proceeds to evaluating the iteration criterion. In the embodiment in step 179 it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on aerial image intensity by the fabrication process). If the number of selected (i.e., open) source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 178 to select the next best source point and then returns to Step 179. This reiterative process continues until the desired number of source points has been selected.
In an embodiment, the termination of the iteration does not depend on whether the desired number of source points is reached, but another iteration criterion is used. For example, the iteration proceeds until a number of iterations has been reached, or until the maximum minimal gradient is below a certain value or until the maximum minimal gradients for a critical point (xc,yc) in the image plane (22) differ too much depending in the direction of the gradient. Alternatively a combination of criteria is used.
[121] It is noted that by modeling the various cost functions to have a linear dependency of the source point positions, it is possible to avoid having to determine the aerial image intensity (I) for each source plane configuration by direct simulation. Therefore, in an embodiment the aerial image intensity is only determined by simulation for a subset of illumination pupil points and the values for the aerial image intensity in the illumination pupil points which are not in the subset are determined via interpolation.
[122] In another embodiment, the illumination optimization is combined with the polynomial representation of the aerial image intensity terms. In this situation, the objective is to optimize the source to reduce the aerial image intensity variation with respect to process window parameters such as defocus (i.e. to optimize the source so that the aerial image intensity is as constant as possible within the process window). Here the aerial image intensity (I) is expressed as a polynomial of the process window parameters' values. As such, it is possible to use polynomial coefficients as part of the optimization selection criteria (i.e., DFM metric) when selecting source points. In an embodiment, the aerial image intensity (I) is modeled as a polynomial with terms relating to defocus and corresponding defocus coefficients expressing the weight of the polynomial terms (or the sensitivity of the aerial image intensity with respect to defocus). Those source points with the smallest defocus coefficients should be selected. In a greedy embodiment the source points which correspond to a minimum of the maximum absolute value or the maximum square of the defocus coefficients are selected. For each source point, we can compute the images intensity derivatives a and b from (Eq.9) or (Eq.17). For an arbitrary image with M elements, i.e., we denote its magnitude as
Figure imgf000066_0004
|7|. The magnitude can be the maximum absolute value of its elements, i.e.,
Figure imgf000066_0001
or the square of the elements, i.e.,
Figure imgf000066_0002
We can rank the source point according to where λ is a user-specified
Figure imgf000066_0003
non-negative constant which determines the trade-off between the magnitudes of a and b. Similar to choosing the source points with respect to DFM metric or the gradient (G) of the aerial image intensity (I), we can always choose the source point with smallest image intensity derivative magnitude until the desired number of source points is reached. Further, since the nominal condition is typically the "best" nominal condition, i.e., it is composed of best focus and best dose, then a=0 and we can simply rank the source points with respect to the magnitude of the \b\. In the remaining discussion, we assume that α=0 to simplify the notation. However, it should be noted that all these methods can be easily extended to the cases where a≠O.
[123] It will be clear to the skilled person that although there is not theoretical limit to the number of optimization points, in an efficient embodiment there is only focus on a limited number of critical points. Fig. 8 illustrates a flowchart of the illumination optimization process which utilizes the aforementioned optimization using image intensity derivatives around critical points. The first step in the illumination optimization process (Step 200) is to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized. The next step in the process (Step 202) is to identify critical points within the target circuit pattern or mask pattern. As noted above, critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths. In Step 204, the magnitude of image intensity derivative values, associated with the individual source points (i.e., pupil points) are determined for the critical circuit points. Next, in Step 206, the source point having the lowest magnitude of image intensity derivative values is selected. This step can be formulated as:
Figure imgf000067_0001
Figure imgf000068_0002
(Eq.45)
Where L is the number of critical points, stands for the image intensity
Figure imgf000068_0005
derivative at critical point and this image intensity derivative is associated with
Figure imgf000068_0009
source point Further , for every source point itself can be
Figure imgf000068_0008
Figure imgf000068_0006
viewed as an image with pixels being all the critical points and
Figure imgf000068_0007
and can be viewed as the
Figure imgf000068_0003
Figure imgf000068_0004
magnitude of image over all critical points, which we denote as
Figure imgf000068_0011
As a result, the source point with minimum will result in the
Figure imgf000068_0001
best overall contour fidelity around critical points. Note that if we choose (Eq.45b), we are using a min-max algorithm which minimizes the sensitivity of the aerial image intensity for the worst critical point.
[124] Thereafter, the illumination source point among the remaining illumination source points that has the smallest magnitude of aerial image intensity derivative values is selected (i.e., opened). Without reconsidering if the earlier chosen source points together form an optimal set of source points, the process then proceeds to Step 208 in which it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on aerial image (Al) intensity (I) by the fabrication process). If the number of selected (i.e., open) illumination source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 206 to select the next best source point and then returns to Step 208. This iterative process continues until the desired number of source points have been selected. It will be clear to the skilled person that other iteration criteria can be used as well in an analogue variety as explained earlier.
[125] In an embodiment, a pair source points with coefficients of opposite sign can be selected together so their defocus effect can substantially cancel each other. From
(Eq.42), the sum(or total) image intensity is the sum of image intensities from all open (i.e. selected) source points, thus the image intensity derivative are the sum of image intensity derivatives from all open source points. For example, for focus variation,
Figure imgf000069_0001
where a and b are the first order and second order image intensity derivatives for the combined set of selected source points, while ap and bp are the first order and second order image intensity derivatives associated with ^-th open source point. So an alternative strategy is to choose those source points p so that the magnitude of the first and or second order image intensity derivatives around the worst critical points is minimized. Suppose we are to choose K out of N source points (p=λ , ... , N) and the optimal source points chosen from this strategy are then
Figure imgf000070_0006
Figure imgf000070_0001
where represents the second order image intensity derivative from source
Figure imgf000070_0002
points at critical point
Figure imgf000070_0004
Figure imgf000070_0003
[126] This object function will lead to the optimal illumination. In a naϊve brute-force approach trying out all possible combinations of K out of N source points, the computational cost (i.e., the number of possible combinations) is in the order of
In an embodiment which is less expensive for a large number of total
Figure imgf000070_0005
source points N another greedy approach is used (Figure 9). This strategy is similar to the one demonstrated in Figure 7. The first step in this illumination optimization process (Step 900) is again to identify the target pattern (also referred to as the mask pattern) for which the illumination will be optimized. The next step in the process (Step 902) is to identify optimization points within the target circuit pattern or mask pattern. In this embodiment critical points are chosen and as noted above, critical points may include, but are not limited to, critical circuit modules or cells, such as the most densely spaced cells, or alternatively cells contained on the target ICs critical paths. In Step 904,
Figure imgf000070_0009
associated with the individual source points are determined for each critical
Figure imgf000070_0008
circuit point
Figure imgf000070_0007
[127] Next, in Step 906, the source point having the lowest image intensity derivative magnitude at the worst critical point, which corresponds to the source point which minimizes the maximum among all critical points
Figure imgf000071_0003
is selected. This
Figure imgf000071_0002
step can be formulated as:
Figure imgf000071_0001
Thus, rephrased more generically, the optimization point (in the target pattern) which has the largest minimum value for the magnitude of the second order coefficient associated with an individual illumination source point is determined. Also, the associated individual illumination source point is selected. [128] As indicated for this embodiment, illumination source points with coefficients of opposite sign are to be selected. The pair of illumination source point will be completed in the next step.
In the next step, step 908, the illumination source point among the remaining illumination source points that leads to the minimum magnitude of the derivative of the aerial image intensity around the same worst critical point is selected (i.e., opened). Assuming that the set of already opened source points is and the set of remaining illumination source
Figure imgf000071_0006
points is
Figure imgf000071_0005
then this step is to look for the illumination source point:
Figure imgf000071_0004
Thus a pair of illumination source points (p,p') is determined in a greedy way. It will be clear to the skilled person that in stead of a pair of illumination source points, a larger plurality of illumination source points can be selected in an analogue way.
[129] The process then proceeds to Step 910 in which it is determined if the desired number of source points have been selected (as noted above, this number is set from the requirement on Al intensity by the fabrication process). If the number of selected (i.e., open) source points equals the desired number of source points set by the fabrication process, the process is complete and the selected source points define the optimized illumination. If the number of currently selected source points is less than the desired number, the process returns to Step 908 to select the next best source point and then returns to Step 910. This reiterative process continues until the desired number of source points has been selected. In variants of this embodiment other iteration criteria are used as explained before.
[130] In another embodiment, the edge-slope-maximization-based illumination optimization and the defocus-sensitivity-minimization-based illumination optimization are combined. In an advantageous combinational embodiment, after selecting the source points that provide the highest edge slope, it is possible to select a small amount of additional source points that have the largest defocus coefficients opposite to the sign of the already-selected source points, so as to cancel out the defocus sensitivity as much as possible. This is shown by the flowchart set forth in Figure 10.
[131] It is noted that it is possible to optimize all source points without interdependency. However, as a practical matter this is usually not necessary because of the symmetry of a typical pupil. Generally, it is acceptable to assume an 8-fold symmetry or Horizontal/Vertical symmetry of the illumination pupil when optimizing for structures of one orientation. In the 8-fold symmetry, we assume that the 8 source points
Figure imgf000073_0003
Figure imgf000073_0001
and
Figure imgf000073_0002
always have the same value, i.e., the source map is symmetric with respect to horizontal, vertical, and 45 degree directions. In the Horizontal/Vertical symmetry, we assume that the symmetry is with respect to only the horizontal and vertical orientations then 4 source points
Figure imgf000073_0004
and always have the same value. For an
Figure imgf000073_0005
8-fold symmetry of the pupil, it is only necessary to consider 1/8 of the pupil (i.e., 1/2 of a quarter). Note that in the examples shown in Figure 7 and Figure 9, we assume a 8-fold symmetry and add one source point in the 1/8 of the pupil at a time (in fact, we are adding 8 points in the whole source plane at a time). However, if we only have Horizontal/Vertical symmetry and do not enforce the symmetry along the 45 degree direction, we may need to add one or two pupil points in one quarter of the pupil at a time, depending on which yields the better result. For the Horizontal/Vertical symmetry, if we still add one pupil point at a time, some pupil points may have inferior imaging performance individually; however, their performance may be well compensated by other pupil points. Therefore, we should add one or a pair of extra pupil point(s) each time, depending on which one has the larger improvement on the derivative image magnitude averaged over the number of pupil points.
[132] The foregoing illumination optimization processes result in a selection of illumination pupil points of an illuminator (IL) of a lithographic exposure apparatus which are to be used when exposing a substrate to the image of the target pattern (i.e. a selection of open illumination points), whereas the not selected (i.e. closed) illumination pupil points will not irradiate the target pattern. As will be known to the skilled person, a simple transmissive pupil of an optical component corresponds to an aperture allowing radiation to pass. In other words and more generally phrased, opening the selection of illumination points can be understood to determine the illumination pupil shape. The illumination optimization process described above may be used with any form of illuminator or combination of source, beam delivery components (used to deliver radiation from the source to the illuminator) and illuminator (IL) arranged to accomplish this. In an embodiment an illumination pupil filter is placed in the pupil plane of the illuminator (IL). The illumination pupil filter is arranged to substantially filter away radiation at illumination points which are not selected while it outputs radiation from the illumination points which are selected. In this embodiment, the illumination pupil shape corresponds to the illumination pupil filter. It is advantageous to place an illumination pupil filter in the pupil plane of the illuminator (IL) as the simulation results of the embodiments of the invention can be directly used to determine the illuminator pupil filter properties and the implementation in the combination of source, beam delivery components and illuminator are relatively simple. In an embodiment the results of the illumination optimization are used to define a diffractive optical element (DOE) to be placed in the optical path of the illumination radiation upstream of the patterning device (MA). In this embodiment, the diffractive optical element is arranged so that corresponds to diffracting away radiation from the patterning device at illumination pupil points which are not selected and that it corresponds to output radiation towards the patterning device at points which are selected. Such a diffractive optical element (DOE) however has a fixed shape, is expensive, has a long order lead time and is optimized for a certain target pattern. Lithographic exposure apparatus are often used for various target patterns. In an advantageous embodiment a programmable mask is used as the illumination pupil filter. More specifically, the optimal illumination pupil filter, which is defined by the result of the foregoing optimization processes, can be programmed or implemented utilizing a programmable mask or other radiation-intensity-modulators. As a result, the improvement in the DFM metrics and imaging results associated with the illumination optimization process can be obtained fast (as programming the settings can be done off-line or in parallel with ongoing production) and without any additional cost for various target designs within one lithographic exposure apparatus.
[133] In a variation of the foregoing, it is possible to combine the illumination optimization with Optical Proximity Correction (OPC) design/optimization to jointly optimize the mask and the source. Referring to Fig. 11 , which is an exemplary flowchart illustrating the joint optimization of the illumination source and the mask (including but not limited to OPC and Sub-Resolution Assist Feature (SRAF) placement), the first step (Step 180) and second step (Step 182) in the process is to identify the mask pattern and the optimization points of the pattern. The optimization points may be determined in different ways such as randomly. In an embodiment the mask pattern and the optimization points are determined in the same manner as Steps 260 and 262, respectively, in the process illustrated in Fig. 6 (i.e. critical points are determined). Thereafter, the mask and the source can be co-optimized by performing a reiterative process in which the illumination filter is optimized first (Step 184) utilizing, for example, either of the foregoing source optimization methods detailed above, followed by an optimizing process for the patterning device such as an OPC process (Step 186) for a mask (the optimized illumination filter may reduce the complexity of OPC design, and hence reduce the mask cost). Once the OPC process is completed, the process returns back to the illumination filter optimization process/source optimization (Step 184), which is followed again by the OPC process (Step 186) (from original pre-OPC design, not from the previously Post-OPC design, since the pre-OPC design, i.e., the design target, remains unchanged). This reiterative process is performed until an iteration criterion is met, for instance in that there is convergence, Step 188, (i.e., there is no further improvement in either the source or mask design). Alternatively the reiterative process in performed until an iteration criterion is met for the mask design after which the illumination is optimized once more. At this stage, the process is complete. It will be clear to the skilled person that existing, well known methods and software can be used for performing the optimization process for the patterning device.
[134] In another variation, the optimization process for the patterning device (such as the OPC process) can also be combined with design inspection to identify hot spots as the critical points in the circuit pattern or change the cost function to better improve the areas with dense hot spots. Therefore, in Fig. 11 , when the OPC process is completed, the process can return back to Step 182 instead of Step 184 to re-identify critical points. As explained earlier, also after completing the last OPC process the illumination optimization process may be run once more, for instance after identifying the critical points based on the file mask design.
[135] Fig. 12 is a block diagram that illustrates a computer system (100) which can assist in the optimization method disclosed herein. The computer system (100) includes a bus (102) or other communication mechanism for communicating information, and a processor (104) coupled with the bus (102) for processing information. The computer system (100) also includes a main memory (106), such as a random access memory (RAM) or other dynamic storage device, coupled to the bus (102) for storing information and instructions to be executed by the processor (104). The main memory (106) also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by the processor (104). The computer system (100) further includes a read only memory (ROM) (108) or another static storage device coupled to the bus (102) for storing static information and instructions for the processor (104). A storage device (110), such as a magnetic disk or optical disk, is provided and coupled to the bus (102) for storing information and instructions. The computer system (100) may be coupled via the bus (102) to a display (112), such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device (114), including alphanumeric and other keys, is coupled to the bus (102) for communicating information and command selections to the processor (104). Another type of user input device is a cursor control (116), such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to the processor (104) and for controlling cursor movement on the display (112). This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device. [136] According to one embodiment of the invention, portions of the optimization process may be performed by the computer system (100) in response to the processor (104) executing one or more sequences of one or more instructions contained in the main memory (106). Such instructions may be read into the main memory (106) from another computer-readable medium, such as a storage device (110). Execution of the sequences of instructions contained in the main memory (106) causes the processor (104) to perform the process steps described herein. One or more processors (104,105) in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in the main memory (106). In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software.
The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to the processor (104) for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as the storage device (110). Volatile media include dynamic memory, such as the main memory (106). Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise the bus (102). Transmission media can also take the form of acoustic or electro-magnetic waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read. [137] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to the processor (104) for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to the computer system (100) can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to the bus (102) can receive the data carried in the infrared signal and place the data on the bus (102). The bus (102) carries the data to the main memory (106), from which the processor (104) retrieves and executes the instructions. The instructions received by the main memory (106) may optionally be stored on the storage device (110) either before or after execution by the processor (104). [138] The computer system (100) also preferably includes a communication interface (118) coupled to the bus (102). The communication interface (118) provides a two-way data communication coupling to a network link (120) that is connected to a local network (122). For example, the communication interface (118) may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, the communication interface (118) may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, the communication interface (118) sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
A network link (120) typically provides data communication through one or more networks to other data devices. For example, the network link (120) may provide a connection through a local network (122) to a host computer (124) or to data equipment operated by an Internet Service Provider (ISP) (126). The ISP (126) in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the "Internet" (128). The local network (122) and the internet (128) both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on the network link (120) and through the communication interface (118), which carry the digital data to and from the computer system (100), are exemplary forms of carrier waves transporting the information. [139] The computer system (100) can send messages and receive data, including program code, through the network(s), the network link (120), and the communication interface (118). In the Internet example, a server (130) might transmit a requested code for an application program through Internet (128), the ISP (126), the local network (122) and the communication interface (118). In accordance with the invention, one such downloaded application provides for the illumination optimization of the embodiment, for example. The received code may be executed by the processor (104) as it is received, and/or stored in the storage device (110), or other non-volatile storage for later execution. In this manner, the computer system (100) may obtain application code in the form of a carrier wave. [140] Fig. 13 schematically depicts an exemplary lithographic exposure apparatus whose illumination source could be optimized utilizing the process of present invention. The apparatus comprises:
- a radiation system (EX, IL), for supplying a projection beam (PB) of radiation. In this particular case, the radiation system also comprises a radiation source (LA);
- a first object table (mask table) (MT) provided with a mask holder for holding a mask (MA) (e.g., a reticle), and connected to first positioning means for accurately positioning the mask with respect to a projection system (PL);
- a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g., a resist-coated silicon wafer), and connected to second positioning means for accurately positioning the substrate with respect to item PL;
- a projection system ("lens") PL (e.g., a refractive, catoptric or catadioptric optical system) for imaging an irradiated portion of the mask MA onto a target portion C (e.g., comprising one or more dies) of the substrate W. [141] As depicted herein, the apparatus is of a reflective type (i.e., has a reflective mask). However, in general, it may also be of a transmissive type, for example (with a transmissive mask). Alternatively, the apparatus may employ another kind of patterning device as an alternative to the use of a mask; examples include a programmable mirror array or LCD matrix. [142] The source LA (e.g., a mercury lamp, excimer laser, an EUV light source or even an X-ray source) produces a beam of radiation for instance having a wavelength of 365, 248,193 or 157 nm or a wavelength between roughly 20 nm and roughly 3 nm or 5 nm or within the range of X-rays. This beam is fed into an illumination system (illuminator) (IL), either directly or after having traversed conditioners, such as a beam expander Ex, and/or beam delivery components (not shown). Beam delivery components can for instance be simple mirrors used to reflect the radiation of the source towards the lithographic exposure apparatus. In an advantageous use, the source is allocated on a floor below (or above) the exposure apparatus or in a different room on the same floor, because the source requires different safety measures and/or environmental conditioning (number of dust particles). The illuminator IL may comprise one or more adjusting devices AM for setting the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section.
[143] It should be noted with regard to Fig. 13 that the source LA may be within the housing of the lithographic projection apparatus (as is often the case when the source LA is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus by beam delivery components (e.g., with the aid of suitable directing mirrors); this latter scenario is often the case when the source LA is an excimer laser (e.g., based on KrF, ArF or F2 lasing). The current invention encompasses at least both of these scenarios. [144] The beam PB subsequently intercepts the mask MA, which is held on a mask table MT. Having been reflected by the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W. With the aid of the second positioning means (and for instance interferometric measuring means (IF) or alternatively by encoders), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the mask MA with respect to the path of the beam PB, e.g., after mechanical retrieval of the mask MA from a mask library, or during a scan. In an embodiment, movement of the object tables (MT, WT) is be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in Fig. 13. However, in the case of a wafer stepper (as opposed to a step-and-scan tool) the mask table MT may just be connected to a short stroke actuator, or may be fixed. [145] The depicted tool can be used in two different modes:
- In step mode, the mask table MT is kept essentially stationary, and an entire mask image is projected in one go (i.e., a single "flash") onto a target portion C. The substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB; - In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single "flash". Instead, the mask table MT is movable in a given direction (the so-called "scan direction", e.g., the y direction) with a speed v, so that the projection beam PB is caused to scan over a mask image; concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V= Mv, in which M is the magnification of the lens PL (typically, M = 1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution. [146] The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size. Emerging technologies include EUV (extreme ultra violet) lithography that is capable of producing a 193nm wavelength with the use of a ArF laser, and even a 157nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-5nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range. Because most materials are absorptive within this range, illumination may be produced by reflective mirrors with a multi-stack of Molybdenum and Silicon. The multi-stack mirror has a 40 layer pairs of Molybdenum and Silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Typically, a synchrotron is used to produce an X-ray wavelength. Since most material is absorptive at x-ray wavelengths, a thin piece of absorbing material defines where features would print (positive resist) or not print (negative resist). [147] While the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers. [148] Although specific reference may be made in this text to the use of the invention in the manufacture of ICs, it should be explicitly understood that the invention has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms "reticle", "wafer" or "die" in this text should be considered as being replaced by the more general terms "mask", "substrate" and "target portion", respectively. [149] In the present document, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm).
[150] The term mask as employed in this text may be broadly interpreted as referring to generic patterning means that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term "radiation valve" can also be used in this context. Besides the classic mask (transmissive or reflective; binary, phase-shifting, hybrid, etc.), examples of other such patterning means include:
• a programmable mirror array. An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. Using an appropriate filter, the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic means. More information on such mirror arrays can be gleaned, for example, from United States Patents US 5,296,891 and US 5,523,193, which are incorporated herein by reference.
• a programmable LCD array. An example of such a construction is given in United States Patent US 5,229,872, which is incorporated herein by reference. [151] Although the present invention has been described and illustrated in detail, it is to be clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation, the scope of the present invention being limited only by the terms of the appended claims.

Claims

We Claim:
1. A method of optimizing an illumination pupil shape for a lithographic process, the method comprising the steps of: identifying a target pattern to be imaged by said lithographic process; identifying at least one optimization point in said target pattern; identifying at least one design for manufacturing metric per optimization point; selecting a set of illumination source points based on the identified at least one design for manufacturing metric; and determining the illumination pupil shape based on the selected set of illumination source points.
2. The method of optimizing an illumination pupil shape according to claim 1 , comprising identifying a design for manufacturing metric based on at least one of an aerial image intensity slope, a mask error enhancement factor and a derivative of a critical dimension versus a value of a process window of the lithographic process.
3. The method of optimizing an illumination pupil shape according to any of the previous claims, further comprising optimizing the target pattern based on the determined illumination pupil shape.
4. Method according to claim 3 wherein optimizing the target pattern results in an optimized target pattern, comprising determining a further set of optimization points based on the optimized target pattern and optimizing the illumination pupil shape based on the optimized target pattern and the further set of optimization points.
5. Method according to any of the claims 1 to 4, comprising
- identifying an acceptable range for each the at least one design for manufacturing metric per optimization point; and
- selecting the set of illumination source points for illuminating the target pattern such that the design for manufacturing metric is within the acceptable range for each optimization point and for each design for manufacturing metric.
6. Method according to claim 5, comprising
- sorting the illumination points for each combination of design for manufacturing metric and optimization point to provide a sorted order based on the value of the design for manufacturing metric in the combination;
- assembling a plurality of further sets of illumination points by selecting a further set of illumination points per combination of design for manufacturing metric and optimization point based on the sorted order;
- determining the set of illumination points by selecting illumination points from the intersection of further sets of illumination points.
7. Method according to any of the claims 1 to 4, comprising
• defining a cost function based on the at least one design for manufacturing metric
• an iteration process comprising o determining the value of the cost function for an illumination source point o optimizing the illumination pupil shape by adding illumination source points to a set of selected illumination source points based on corresponding values of the cost function until an iteration criterion is met.
8. Method according to claim 7, comprising
- illumination source points in the set of selected illumination source points are not re-evaluated during a later iteration.
9. Method according to claim 7 or 8, comprising - determining the second order coefficient in a polynomial representation of aerial image intensity (I) as a function of a parameter of a process window of the lithographic process;
- identifying at least one design for manufacturing metric to be the magnitude of the second order coefficient.
10. Method according to claim 8, comprising
- determining a worst case optimization point by determining which optimization point has the largest minimum value for the magnitude of the second order coefficient associated with an individual illumination source point; - selecting the associated individual illumination source point with the minimum value of the magnitude of the second order coefficient for that optimization point;
- selecting a further illumination source point having an opposite effect on the second order coefficient in that optimization point.
11. Method according to any of the claims 7 to 10, comprising
- defining interdependency relations between illumination source points based on symmetry in the illumination source;
- selecting interdependent illumination source points based on the interdependency relations.
12. Device manufacturing method comprising
- patterning a beam of radiation from an illumination source with a patterning device;
- exposing a substrate to the patterned beam of radiation; - using an illumination pupil shape for the illumination source determined in any of the claims 1 to 11.
13. Device manufacturing method according to claim 12, comprising using an illumination pupil shape filter.
14. Device manufacturing method according to claim 13, comprising
- either using the determined illumination pupil shape to program a programmable device in the pupil plane of the illumination source, or
- using a diffractive optical element having a diffractive pattern corresponding to the illumination pupil shape determined according to any of the claims 1 to 11 in the pupil plane of the illuminator source.
15. Method of producing a diffractive optical element, comprising
- determining an illumination pupil shape according to any of the claims 1 to 11 , - producing a diffractive pattern on the diffractive optical element based on the determined illumination pupil shape.
16. A computer readable medium bearing a computer program for optimizing an illumination pupil shape, the computer program, when executed, causing a computer to perform the steps of any of the claims 1 to 11.
PCT/US2009/049792 2008-07-07 2009-07-07 Illumination optimization WO2010005957A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/003,294 US8542340B2 (en) 2008-07-07 2009-07-07 Illumination optimization
NL2003719A NL2003719A (en) 2008-11-10 2009-10-28 Delta tcc for fast sensitivity model computation.
JP2009248812A JP5033860B2 (en) 2008-11-10 2009-10-29 Delta TCC for fast sensitivity model calculation
NL2003729A NL2003729A (en) 2008-11-10 2009-10-30 Smart selection and/or weighting of parameters for lithographic process simulation.
US12/615,004 US10025198B2 (en) 2008-07-07 2009-11-09 Smart selection and/or weighting of parameters for lithographic process simulation
CN2009102210681A CN101846886B (en) 2008-11-10 2009-11-09 Delta TCC (transmission cross coefficient) for high-speed sensibility model calculation

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US7859908P 2008-07-07 2008-07-07
US61/078,599 2008-07-07
NL1036189 2008-11-12
NL1036189A NL1036189A1 (en) 2007-12-05 2008-11-12 Methods and System for Lithography Process Window Simulation.
JP2008305942A JP5016585B2 (en) 2007-12-05 2008-12-01 Method and system for simulating a lithography process window
JP2008-305942 2008-12-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/615,004 Continuation-In-Part US10025198B2 (en) 2008-07-07 2009-11-09 Smart selection and/or weighting of parameters for lithographic process simulation

Publications (1)

Publication Number Publication Date
WO2010005957A1 true WO2010005957A1 (en) 2010-01-14

Family

ID=41119880

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/049792 WO2010005957A1 (en) 2008-07-07 2009-07-07 Illumination optimization

Country Status (4)

Country Link
US (1) US8542340B2 (en)
NL (1) NL2003143A1 (en)
TW (1) TW201007383A (en)
WO (1) WO2010005957A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9360766B2 (en) 2008-12-18 2016-06-07 Asml Netherlands B.V. Method and system for lithography process-window-maximixing optical proximity correction
US11048161B2 (en) 2016-12-20 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
WO2010059954A2 (en) * 2008-11-21 2010-05-27 Brion Technologies Inc. Fast freeform source and mask co-optimization method
US8191016B2 (en) * 2009-02-23 2012-05-29 Cadence Design Systems, Inc. System and method for compressed post-OPC data
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US8786824B2 (en) * 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US20120278770A1 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
CN102439978A (en) * 2010-03-12 2012-05-02 联发科技(新加坡)私人有限公司 Motion prediction methods
US8321822B2 (en) * 2010-05-27 2012-11-27 United Microelectronics Corp. Method and computer-readable medium of optical proximity correction
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9207544B2 (en) * 2011-06-14 2015-12-08 Carl Zeiss Sms Gmbh Method for simulating an aerial image
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
KR20150001834A (en) 2012-04-18 2015-01-06 디2에스, 인코포레이티드 Method and system for critical dimension uniformity using charged particle beam lithography
WO2013158573A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithograph
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US10191384B2 (en) 2013-02-25 2019-01-29 Asml Netherlands B.V. Discrete source mask optimization
US9081289B2 (en) 2013-03-15 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for optimization of an imaged pattern of a semiconductor device
US9679360B2 (en) * 2013-05-10 2017-06-13 Trustees Of Princeton University High-resolution light-field imaging
KR102227127B1 (en) 2014-02-12 2021-03-12 삼성전자주식회사 Design rule generating apparatus and method using lithography simulation
US9575412B2 (en) * 2014-03-31 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for reducing pole imbalance by adjusting exposure intensity
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US10025177B2 (en) * 2016-03-16 2018-07-17 Samsung Electronics Co., Ltd. Efficient way to creating process window enhanced photomask layout
US9990460B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source beam optimization method for improving lithography printability
US10599040B2 (en) 2017-08-18 2020-03-24 Asml Netherland B.V. Lithographic apparatus and associated method
US10705420B2 (en) * 2018-05-15 2020-07-07 Asml Us, Llc Mask bias approximation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
EP1465016A2 (en) * 2003-03-31 2004-10-06 ASML MaskTools B.V. Illumination source and photomask optimization
US20040197672A1 (en) * 2003-04-01 2004-10-07 Numerical Technologies, Inc. Programmable aperture for lithographic imaging systems
US20050195379A1 (en) * 2004-03-05 2005-09-08 Stanton William A. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
EP1793279A2 (en) * 2005-11-30 2007-06-06 ASML Netherlands BV Lithographic apparatus and device manufacturing method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (en) * 1990-05-02 1995-07-20 Fraunhofer Ges Forschung EXPOSURE DEVICE.
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2000505958A (en) * 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Two-dimensional balance positioning device having two article holders and lithographic device having this positioning device
US20050015233A1 (en) 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US8043797B2 (en) * 2004-10-12 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4806020B2 (en) 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. Method for creating a focus exposure model of a lithographic process, method for creating a single model of a lithographic process for use at nominal conditions, and a computer readable medium
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
NL1036189A1 (en) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
EP1465016A2 (en) * 2003-03-31 2004-10-06 ASML MaskTools B.V. Illumination source and photomask optimization
US20040197672A1 (en) * 2003-04-01 2004-10-07 Numerical Technologies, Inc. Programmable aperture for lithographic imaging systems
US20050195379A1 (en) * 2004-03-05 2005-09-08 Stanton William A. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
EP1793279A2 (en) * 2005-11-30 2007-06-06 ASML Netherlands BV Lithographic apparatus and device manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9360766B2 (en) 2008-12-18 2016-06-07 Asml Netherlands B.V. Method and system for lithography process-window-maximixing optical proximity correction
US10310371B2 (en) 2008-12-18 2019-06-04 Asml Netherlands B.V. Method and system for lithography process-window-maximizing optical proximity correction
US11048161B2 (en) 2016-12-20 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
TWI745468B (en) * 2016-12-20 2021-11-11 台灣積體電路製造股份有限公司 Mask optimization method and integrated circuit system

Also Published As

Publication number Publication date
US8542340B2 (en) 2013-09-24
NL2003143A1 (en) 2010-01-11
US20110116067A1 (en) 2011-05-19
TW201007383A (en) 2010-02-16

Similar Documents

Publication Publication Date Title
US8542340B2 (en) Illumination optimization
US10310371B2 (en) Method and system for lithography process-window-maximizing optical proximity correction
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US9390206B2 (en) Methods and systems for lithography process window simulation
JP5180359B2 (en) Flow of optimization of light source, mask and projection optics
US8584056B2 (en) Fast freeform source and mask co-optimization method
WO2020193095A1 (en) Method for determining pattern in a patterning process
WO2011051249A1 (en) Method of pattern selection for source and mask optimization
US11054750B2 (en) Profile aware source-mask optimization
US11886124B2 (en) Flows of optimization for patterning processes
TW202240280A (en) Method for determining mask pattern and training machine learning model
CN111213090B (en) Optimization flow of patterning process

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09790107

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 13003294

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: JP

122 Ep: pct application non-entry in european phase

Ref document number: 09790107

Country of ref document: EP

Kind code of ref document: A1