WO2010147141A1 - 成膜方法、前処理装置及び処理システム - Google Patents

成膜方法、前処理装置及び処理システム Download PDF

Info

Publication number
WO2010147141A1
WO2010147141A1 PCT/JP2010/060191 JP2010060191W WO2010147141A1 WO 2010147141 A1 WO2010147141 A1 WO 2010147141A1 JP 2010060191 W JP2010060191 W JP 2010060191W WO 2010147141 A1 WO2010147141 A1 WO 2010147141A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
insulating layer
treatment
film forming
gas
Prior art date
Application number
PCT/JP2010/060191
Other languages
English (en)
French (fr)
Inventor
松本 賢治
伊藤 仁
三好 秀典
重敏 保坂
佐藤 浩
浩司 根石
小池 淳一
Original Assignee
東京エレクトロン株式会社
国立大学法人東北大学
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社, 国立大学法人東北大学 filed Critical 東京エレクトロン株式会社
Priority to CN2010800265642A priority Critical patent/CN102460653A/zh
Priority to KR1020117030618A priority patent/KR101399814B1/ko
Priority to US13/378,535 priority patent/US8865590B2/en
Publication of WO2010147141A1 publication Critical patent/WO2010147141A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids

Definitions

  • the present invention relates to a technique for forming a thin film containing Mn in an insulating film made of a low-k film having a recess formed on the surface of an object to be processed such as a semiconductor wafer.
  • a semiconductor device is repeatedly subjected to various processes such as a film forming process and a pattern etching process to manufacture a desired device.
  • the line width and hole diameter are becoming increasingly finer than requested.
  • copper which has a very low electric resistance and is inexpensive because it is necessary to reduce the electric resistance by miniaturizing various dimensions.
  • tantalum metal (Ta), tantalum nitride film (TaN) or the like is generally used as the barrier in consideration of the diffusion barrier property of copper to the lower layer. Used as a layer.
  • a thin seed film made of a copper film is formed on the entire wafer surface including the entire wall surface in the recess, and then the copper is formed on the entire wafer surface.
  • the inside of the recess is completely embedded.
  • an excessive copper thin film on the wafer surface is removed by polishing by CMP (Chemical Chemical Mechanical) processing or the like.
  • FIG. 13 is a view showing a conventional embedding process of a recess of a semiconductor wafer.
  • the surface of the insulating layer 1 formed on the semiconductor wafer W such as an interlayer insulating film made of, for example, a SiO2 film, is formed with via holes, through holes, or trenches (trench) by a single-damascene structure, a dual-damascene structure, a three-dimensional mounting structure, or the like.
  • a lower wiring layer 3 made of copper, for example is formed in an exposed state at the bottom of the recess 2.
  • the recess 2 is composed of a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
  • the hole 2B is a via hole or a through hole. It becomes.
  • the wiring layer 3 is exposed at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
  • the width or inner diameter of the recess 2 is very small, for example, about 120 nm, and the aspect ratio is, for example, about 2 to 4.
  • the diffusion prevention film, the etching stop film, and the like are not shown and simplified in shape.
  • a barrier layer 4 made of a laminated structure of, for example, a TaN film and a Ta film is formed in a plasma sputtering apparatus substantially uniformly including the inner surface in the recess 2 (FIG. 13A). )reference).
  • a seed film 6 made of a thin copper film is formed as a metal film over the entire wafer surface including the surface in the recess 2 by a plasma sputtering apparatus (see FIG. 13B).
  • the recess 2 is filled with a metal film 8 made of, for example, a copper film by performing copper plating on the wafer surface (see FIG. 13C). Thereafter, the excess metal film 8, seed film 6 and barrier layer 4 on the wafer surface are removed by polishing using the above-described CMP process or the like.
  • a self-formed barrier layer using a Mn film or a CuMn alloy film instead of the Ta film or TaN film has attracted attention.
  • the Mn film or CuMn alloy film is formed by sputtering, and the Mn film or CuMn alloy film itself becomes a seed film. Therefore, a Cu plating layer can be directly formed thereon, and annealing can be performed after plating.
  • MnSixOy (x, y: arbitrary positive number) film or Mn and SiO is formed at the boundary between the SiO 2 layer and the Mn film or CuMn alloy film. Since a barrier film called a manganese oxide MnOx (x: arbitrary positive number) film formed by the reaction with two layers of oxygen is formed, there is an advantage that the number of manufacturing steps can be reduced.
  • Manganese oxides include MnO, Mn 3 O 4 , Mn 2 O 3 , and MnO 2 depending on the valence of Mn. In this specification, these are collectively referred to as MnOx.
  • TEOS is used as a material for the interlayer insulating film.
  • a low-k film made of SiOC, SiCOH or the like containing an organic group such as a methyl group as a material having a lower relative dielectric constant has been studied.
  • the relative dielectric constant of the silicon oxide film formed using the TEOS is about 4.1
  • the relative dielectric constant of SiOC is about 3.0.
  • a Mn-containing film is formed by CVD on the surface of the interlayer insulating film having a low relative dielectric constant including the exposed surface in the recess.
  • a MnOx film is hardly deposited, there is a problem that a barrier layer cannot be formed.
  • the present invention provides a film forming method, a pre-processing apparatus, and a processing system capable of efficiently forming a thin film containing Mn, for example, a MnOx film, on the surface of an insulating layer made of a low-k film.
  • the present inventors have made a hydrophilic treatment such as exposing the surface of the insulating layer to plasma before performing the MnOx film forming process.
  • the present invention has been achieved by obtaining the knowledge that the MnOx thin film can be efficiently deposited by applying the above to improve the wettability of the insulating layer.
  • the surface of the insulating layer is formed. Forming a thin film containing Mn by subjecting the surface of the insulating layer that has been subjected to the hydrophilization treatment to film formation using a Mn-containing material on the surface of the insulating layer that has been subjected to the hydrophilization treatment. And a thin film forming process.
  • the surface of the insulating layer is formed.
  • a hydrophilization step for making a hydrophilic surface by performing a hydrophilization treatment, and forming a thin film containing Mn by subjecting the surface of the insulating layer subjected to the hydrophilization treatment to a film formation treatment using a Mn-containing source gas A thin film forming process is provided.
  • the hydrophilic treatment can be performed by performing a plasma treatment on the insulating layer.
  • a plasma treatment an oxygen-containing gas and / or a rare gas can be used.
  • the hydrophilization treatment can also be performed by performing an ultraviolet ozone treatment that modifies the surface of the insulating layer using ultraviolet rays and an oxygen-containing gas.
  • the hydrophilization treatment can also be performed by performing a GCIB treatment that irradiates the surface of the insulating layer with a gas cluster ion beam.
  • the hydrophilization treatment can also be performed by performing a visible light irradiation treatment that irradiates the surface of the insulating layer with visible light having a wavelength of 425 nm.
  • an annealing step can be performed in which the insulating layer is annealed at a temperature higher than the process temperature in the thin film forming step to remove moisture in the insulating layer.
  • an adhesion step of applying an adhesion treatment for adhering water and / or oxygen-containing gas to the surface of the insulating layer may be performed.
  • the low-k film is defined as a film made of a dielectric material having a relative dielectric constant smaller than 4.1.
  • the low-k film includes a SiOC film, a SiO film, a SiOF film, a SiC film, a SiCOH film, a SiCN film, a porous silica film, a porous methylsilsesquioxane film, a polyarylene film, a SiLK (registered trademark) film, and a fluorocarbon film. It can be composed of one or more films selected from the group consisting of:
  • the pretreatment for performing the pretreatment that is performed before forming the thin film containing Mn on the target object having the insulating layer formed of the low-k film having the recess formed on the surface a processing container capable of being evacuated, a mounting table structure provided in the processing container for mounting the processing object, a heating means for heating the processing object, and the processing container Gas introduction means for introducing gas into the gas; gas supply means capable of supplying rare gas, water vapor and oxygen-containing gas to the gas introduction means; and hydrophilic means for hydrophilizing the surface of the insulating layer;
  • a pretreatment device including a device control unit that controls the entire device to perform an annealing treatment, an adhesion treatment, and a hydrophilization treatment on the object to be treated.
  • the hydrophilizing means may be any one of plasma generating means, ultraviolet irradiation means, GCIB processing means, and visible light irradiation means having a wavelength of 425 nm.
  • a processing system for forming a thin film containing Mn on an object to be processed on which an insulating layer made of a low-k film having a recess is formed the object to be processed is disposed inside.
  • a common transfer chamber having a transfer mechanism for transferring; a hydrophilic treatment device connected to the common transfer chamber to perform a hydrophilic treatment on the object to be processed; and a target to be processed connected to the common transfer chamber.
  • a processing system including a film forming apparatus that performs a film forming process for forming a thin film containing Mn on a body, and a system control unit that controls the operation of the entire processing system.
  • the hydrophilization treatment apparatus is any one of a plasma treatment apparatus, an ultraviolet irradiation treatment apparatus, a gas cluster ion beam irradiation treatment apparatus, and a visible light irradiation treatment apparatus that emits visible light having a wavelength of 425 nm. Can do.
  • An annealing apparatus for performing an annealing process on the object to be processed can be connected to the common transfer chamber.
  • An adhesion processing apparatus for performing an adhesion process for adhering water and / or oxygen-containing gas to the object to be processed can be connected to the common transfer chamber.
  • a processing system for forming a thin film containing Mn on an object to be processed on which an insulating layer made of a low-k film having a recess is formed the object to be processed is disposed inside.
  • a common transfer chamber having a transfer mechanism for transferring, the above-described pretreatment device connected to the common transfer chamber, and a thin film containing Mn to the target object connected to the common transfer chamber.
  • a processing system including a film forming apparatus that performs a film forming process, and a system control unit that controls the operation of the entire processing system.
  • FIG. 2 is a schematic cross-sectional view showing a pretreatment processing apparatus capable of performing three treatments, a hydrophilic treatment, an annealing treatment, and an adhesion treatment.
  • FIG. 2 is a schematic cross-sectional view showing a pretreatment processing apparatus capable of performing three treatments, a hydrophilic treatment, an annealing treatment, and an adhesion treatment.
  • It is a schematic sectional drawing which shows the film-forming apparatus which forms manganese oxide into a film. It is a whole explanatory drawing for demonstrating the whole film-forming method of this invention.
  • It is a flowchart which shows 1st Example of the film-forming method of this invention.
  • It is a flowchart which shows 2nd Example of the film-forming method of this invention.
  • It is a flowchart which shows 3rd Example of the film-forming method of this invention.
  • FIG. 1 is a schematic configuration diagram showing an example of a processing system for carrying out the method of the present invention
  • FIG. 2 is a schematic cross-sectional view showing a pretreatment apparatus capable of performing three treatments of hydrophilic treatment, annealing treatment and adhesion treatment
  • 3 is a schematic sectional view showing a film forming apparatus for forming a manganese oxide film
  • FIG. 4 is an overall explanatory view for explaining the whole film forming method of the present invention
  • FIG. 5 is a film forming method of the present invention.
  • FIG. 6 is a flowchart showing a second embodiment of the film forming method of the present invention
  • FIG. 7 is a flowchart showing a third embodiment of the film forming method of the present invention
  • FIG. It is a figure which shows an example of the aspect of a change when the surface is hydrophilized.
  • a processing system 10 has a common transfer chamber 14 provided therein with a transfer mechanism 12 for transferring a semiconductor wafer W, which is an object to be processed.
  • the common transfer chamber 14 is evacuated inside and is in a reduced pressure atmosphere during normal operation.
  • the transfer mechanism 12 has two picks 12A and 12B for holding the wafer W, and is capable of turning and bending so that the wafer W can be moved to various processing apparatuses and load lock chambers as will be described later. It can be carried in and out.
  • the common transfer chamber 14 is formed in a hexagonal shape, and the load lock chambers 16 and 18 are connected via a gate valve G that can be opened and closed with respect to two adjacent sides of the hexagonal shape.
  • the load lock chambers 16 and 18 can be evacuated and returned to atmospheric pressure, and are alternately repeated in an atmospheric pressure atmosphere and a vacuum atmosphere when the wafer W is loaded and unloaded, so that the vacuum state in the common transfer chamber 14 is obtained.
  • the wafer W can be loaded and unloaded while maintaining the above.
  • Each of the load lock chambers 16 and 18 is provided with a support table 20 that temporarily supports the wafer W.
  • a loader chamber 22 having a horizontally long box shape is connected to the opposite side of each of the load lock chambers 16 and 18 through a gate valve G.
  • a plurality of cassette stands 24 are attached to one side of the loader chamber 22 in the longitudinal direction, and a cassette 26 that can accommodate a plurality of wafers W can be placed thereon.
  • a gate door 27 that can be opened and closed is provided on the side wall of the loader chamber 22 to which the cassettes 26 are attached. The gate door 27 is opened and the wafer W is transferred into and out of the loader chamber 22. Can be done.
  • a transfer arm 28 that is movable along the longitudinal direction is provided.
  • the transfer arm 28 has two picks 28A and 28B which can be turned and bent, and the wafer W can be held and transferred by the picks 28A and 28B.
  • An orienter 30 that aligns and aligns the wafer W is provided at one end of the horizontally long loader chamber 22.
  • the wafer W in the cassette 26 are transferred to the loader chamber 22 in an atmospheric pressure atmosphere by the transfer arm 28. It is taken in. Then, the wafer W is transferred to the orienter 30 by the transfer arm 28, and alignment and orientation are performed based on the notches and orientation flats formed on the wafer W. The wafer W is again transferred by the transfer arm 28 and is loaded into one of the load lock chambers, for example, the load lock chamber 16.
  • the wafer W accommodated in the load lock chamber 16 is received by the transfer mechanism 12 provided in the common transfer chamber 14, and this common It will be carried into the transfer chamber 14.
  • the unprocessed wafer W is loaded into each processing apparatus to be described later by the transfer mechanism 12 and predetermined processing is performed in each processing apparatus.
  • the processed wafer W is carried out to the loader chamber 22 side through any one of the load lock chambers, for example, the load lock chamber 18, and further in a predetermined cassette 26 for storing the processed wafers W. Will be housed.
  • each processing apparatus connected to the common transfer chamber 14 will be described.
  • the four processing apparatuses include a hydrophilic processing apparatus 32 that performs a hydrophilic process on the wafer W, an annealing process apparatus 34 that performs an annealing process on the wafer W, and an attachment that attaches moisture or the like to the wafer W.
  • the processing apparatuses necessary for carrying out the method of the present invention are the hydrophilization processing apparatus 32 and the film forming processing apparatus 38, and other processing apparatuses, that is, the annealing processing apparatus 34 and the adhesion processing apparatus 36 are used as necessary. Should be provided.
  • the hydrophilic treatment apparatus 32 performs a hydrophilic treatment on the surface of the insulating layer formed on the surface of the wafer W to obtain a hydrophilic surface. Examples of the hydrophilic treatment method include the following four types, and any hydrophilic treatment may be performed.
  • the first hydrophilization treatment is to perform plasma treatment on the insulating layer of the wafer W using an oxygen-containing gas and / or a rare gas.
  • the hydrophilization treatment device 32 is a plasma treatment device.
  • FIG. 1 shows a case where a plasma processing apparatus is used.
  • the second hydrophilization treatment apparatus is intended to perform surface modification treatment for modifying the surface of the insulating layer of the wafer W using ultraviolet rays and an oxygen-containing gas. In this case, the hydrophilic treatment is performed.
  • an ultraviolet irradiation processing apparatus is used as the apparatus 32. *
  • the third hydrophilization treatment includes a GCIB (Gas Cluster Ion Beam) treatment in which the surface of the insulating layer of the wafer W is irradiated with a gas cluster ion beam.
  • the hydrophilization treatment device 32 is used.
  • a gas cluster ion beam irradiation processing apparatus is used.
  • the fourth hydrophilization treatment is performed by irradiating the surface of the insulating layer of the wafer W with visible light having a wavelength of 425 nm to perform the visible light irradiation treatment.
  • the hydrophilic treatment device 32 is visible.
  • a light irradiation treatment device is used.
  • visible light with a wavelength of 425 nm corresponds to the binding energy between silicon and a methyl group (Si—CH 3 ), and is a wavelength that can efficiently cut this methyl group.
  • the hydrophilic treatment device 32 any one of the four types of treatment devices is used.
  • the wafer W is heated to a predetermined process temperature, and moisture in the insulating layer formed on the wafer W is evaporated and removed.
  • the process temperature is set to be higher than the process temperature in the film forming apparatus 38.
  • inert gas into the processing unit for example, N 2 or Ar, it is shed a rare gas such as He.
  • the adhesion processing apparatus 36 water (water vapor) and / or oxygen-containing gas is adhered to the surface of the insulating layer of the wafer W in order to promote the deposition of the thin film.
  • FIG. 2 is a cross-sectional view showing such a pretreatment apparatus.
  • the pretreatment device 42 has a cylindrical treatment container 44 formed of an aluminum alloy or the like, and the treatment container 44 is grounded.
  • a loading / unloading port 46 is provided on the side wall of the processing container 44, and the loading / unloading port 46 is connected to the common transfer chamber 14 via a gate valve G so that the wafer W can be loaded / unloaded.
  • an exhaust port 48 is formed at the bottom of the processing container 44, and an exhaust means 50 is connected to the exhaust port 48.
  • the exhaust means 50 has an exhaust passage 51 connected to the exhaust port 48.
  • a pressure adjusting valve 52 such as a butterfly valve and a vacuum pump 54 are sequentially provided,
  • the atmosphere in the processing container 44 can be evacuated while adjusting the pressure.
  • a shower head 56 is provided on the ceiling of the processing container 44 as a gas introducing means, and necessary gas is introduced into the processing container 44 through a gas hole 56A provided on the gas injection surface. It has become.
  • the shower head 56 is connected to gas supply means 58 for supplying necessary gas.
  • the gas supply means 58 has a gas passage 60 connected to the gas inlet 56 ⁇ / b> B of the shower head 56.
  • the upstream side of the gas passage 60 is branched into a plurality of branch passages 62, and a flow rate controller 64 such as a mass flow controller and an opening / closing valve 66 are interposed in the middle of each branch passage 62.
  • the necessary gas can be supplied while controlling the flow rate.
  • Ar can be supplied as a rare gas, oxygen or ozone as an oxygen-containing gas, or a mixed gas of both, and N 2 and moisture (water vapor, H 2 O) can be supplied as the inert gas as required. .
  • moisture may be supplied by a bubbling method using an inert gas.
  • a mounting table structure 68 for mounting the wafer W is provided.
  • the mounting table structure 68 includes a column 70 standing up from the bottom of the container, and a disk-shaped mounting table 72 made of a disk, for example, provided at the upper end of the column 70.
  • the mounting table 72 is provided with, for example, a resistance heater 74 as a heating means, and the wafer W mounted on the mounting table 72 is heated to a desired temperature.
  • the resistance heater 74 is connected to a heater power supply 78 via a power supply line 76 so as to perform necessary power supply.
  • this pre-processing apparatus 42 has a hydrophilizing means 80 for hydrophilizing the surface of the insulating layer of the wafer W.
  • a plasma generating means 82 for generating plasma in the processing vessel 44 is provided.
  • the plasma generating means 82 has a lower electrode 84 embedded in the upper part of the mounting table 72, and a power supply line 86 is connected to the lower electrode 84.
  • the power supply line 86 is connected to the high-frequency power supply 90 via a matching circuit 88 in the middle, and between the lower electrode 84 and the shower head 56 which is the upper electrode facing the power supply line 86 as necessary.
  • Plasma can be generated in the processing space 92 by applying high-frequency power.
  • As the frequency of the high frequency power for example, 13.56 MHz can be used, but the frequency is not particularly limited.
  • a device control unit 94 made of, for example, a computer is provided.
  • the device control unit 94 stores a computer-readable program necessary for the operation.
  • a storage medium 96 is included.
  • the storage medium 96 is composed of a flexible disk, a CD (Compact Disc), a hard disk, a flash memory, a DVD, or the like.
  • the hydrophilization treatment, annealing treatment and adhesion treatment can be performed continuously.
  • the pretreatment device 42 may perform only the hydrophilic treatment or only one of the annealing treatment and the adhesion treatment in addition to the hydrophilic treatment.
  • a parallel plate type (capacitive coupling type) plasma generating unit is used as the plasma generating unit 82 as an example, but instead of this, an inductively coupled plasma generating unit, a helicon wave excitation type, or the like is used.
  • Plasma generation means microwave excitation surface wave plasma generation means (including RLSA (Radial Line Slot Antenna) microwave plasma and SPA (Slot Plane Antenna) plasma), electron cyclotron resonance plasma generation means, remote plasma generation means, etc. Can do.
  • RLSA Rotary Line Slot Antenna
  • SPA Slot Plane Antenna
  • the film forming apparatus 38 forms a thin film containing Mn on the surface of the insulating layer of the wafer W using a Mn-containing source gas (hereinafter also referred to as “Mn source gas”).
  • Mn source gas a Mn-containing source gas
  • a film forming apparatus similar to the film forming apparatus disclosed in Japanese Patent Application Laid-Open No. 2009-016782 can be used.
  • the film forming apparatus 38 includes a processing container 100 whose internal atmosphere can be evacuated, and a loading / unloading port 102 is provided on the side wall of the processing container 100.
  • the inlet 102 is connected to the common transfer chamber 14 via a gate valve G.
  • a mounting table 104 is provided standing from the bottom of the container, and a resistance heater 106 is embedded in the mounting table 104 as a heating means. Thereby, the wafer W mounted on the upper surface of the mounting table 104 can be heated to a predetermined temperature.
  • a shower head 108 is provided on the ceiling of the processing vessel 100 as a gas introduction unit.
  • a gas diffusion space 110 is formed in the shower head 108, and a gas ejection hole 114 is formed by connecting the gas diffusion space 110 and the processing space 112.
  • Mn source gas is made to flow through this gas diffusion space while controlling the flow rate.
  • the Mn source gas is flowed together with a carrier gas such as H 2 , N 2, or a rare gas.
  • H 2 gas is used as the carrier gas.
  • the Mn source gas is supplied to the processing space 112 through the gas ejection holes 114 and reaches the surface of the wafer W, where it decomposes and deposits the first metal on the surface of the insulating layer on the wafer W by thermal CVD reaction.
  • An MnOx film is formed as a thin film containing the film. In this case, the manganese produced by decomposition on the surface of the wafer W is combined with the oxygen component of the insulating layer to form the MnOx film.
  • the film forming apparatus 38 is merely an example, and the present invention is not limited to this.
  • the MnOx film may be formed by another film forming method, for example, a PVD method.
  • the system control unit 116 including, for example, a computer is provided.
  • the system control unit 116 is necessary for the operation.
  • the storage medium 120 is composed of a flexible disk, a CD (Compact Disc), a hard disk, a flash memory, a DVD, or the like.
  • the operations of the processing devices 32 to 38 operate under the control of the system control unit 116, whereby each processing described later is performed.
  • FIG. 4 is an overall explanatory diagram for explaining the entire film forming method of the present invention
  • FIG. 5 is a flowchart showing a first embodiment of the film forming method of the present invention
  • FIG. 6 is a second flowchart of the film forming method of the present invention
  • FIG. 7 is a flowchart showing a third embodiment of the film forming method of the present invention
  • FIG. 8 is a view showing an example of a change mode when the surface of the insulating layer is subjected to a hydrophilic treatment.
  • the state is as shown in FIG. 4A, and the insulating layer is changed from the SiO 2 film formed by TEOS to the insulating layer 122 having a low relative dielectric constant. Except for the changed points, the structure is the same as the structure shown in FIG. 13A (excluding the barrier layer 4). That is, on the surface of an insulating layer (interlayer insulating film) 122 made of a material having a low relative dielectric constant, for example, called a Low-k film (SiCO) formed on the semiconductor wafer W, a single damascene structure, a dual damascene structure, a three-dimensional structure is formed.
  • an insulating layer (interlayer insulating film) 122 made of a material having a low relative dielectric constant, for example, called a Low-k film (SiCO) formed on the semiconductor wafer W, a single damascene structure, a dual damascene structure, a three-dimensional structure is formed.
  • SiCO Low-k film
  • a recess 2 corresponding to a via hole, a through hole, a groove (trench), or the like is formed by a mounting structure or the like, and a lower wiring layer 3 made of, for example, copper is formed in an exposed state at the bottom of the recess 2.
  • the Low-k material means a material having a relative dielectric constant lower than 4.1 which is a relative dielectric constant of the SiO 2 film.
  • the recess 2 includes a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
  • the hole 2B is a contact hole or through hole. It becomes a hall.
  • the wiring layer 3 is exposed at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
  • the width or inner diameter of the recess 2 is very small, for example, about 120 nm, and the aspect ratio is, for example, about 2 to 4.
  • the diffusion prevention film, the etching stop film, and the like are not illustrated and simplified in shape.
  • the wafer W as shown in FIG. 4A is subjected to a pretreatment as shown in FIG. 4B as a pretreatment to make the surface of the insulating layer 122 hydrophilic (S1).
  • a thin film forming step is performed to form a thin film 124 containing the first metal on the surface of the insulating layer 122 and the exposed surface in the recess 2 (S2). Since the surface of the insulating layer 122 is a hydrophilic surface, the thin film 124 is efficiently deposited.
  • the thin film 124 is made of a MnOx film, and this MnOx film functions as a barrier layer.
  • a copper seed film is formed on the surface of the thin film 124 (including the exposed surface in the recess 2) by sputtering or the like, and further subjected to copper plating treatment, whereby the metal film 8 made of, for example, a copper film is formed in the recess 2. (See FIG. 4D). Thereafter, the excess metal film 8, the seed film and the barrier layer 124 on the wafer surface are removed by polishing using the above-described CMP process or the like.
  • the pre-processing includes the first embodiment shown in FIG. 5, the second embodiment shown in FIG. 6, and the third embodiment shown in FIG. 7, and any of these embodiments may be performed.
  • the first embodiment shown in FIG. 5 performs the above-described hydrophilization step S1 in which the surface of the insulating layer 122 is subjected to a hydrophilic treatment, and then the thin film formation in which a thin film 124, here a MnOx film, is formed.
  • Step S2 is performed.
  • the hydrophilization treatment includes four treatment methods of plasma treatment, ultraviolet ozone treatment, GCIB treatment, and visible light irradiation treatment, and any one of these four treatment methods is selected. And do it.
  • This plasma treatment can be performed by the hydrophilic treatment apparatus 32 in FIG. 1 (can also be performed by the pretreatment apparatus 42 shown in FIG. 2).
  • plasma is generated in an atmosphere of a rare gas such as Ar, an oxygen-containing atmosphere such as O 2 , or a mixed gas atmosphere of both gases to hydrophilize the surface of the insulating layer 122.
  • a rare gas such as Ar
  • an oxygen-containing atmosphere such as O 2
  • a mixed gas atmosphere of both gases to hydrophilize the surface of the insulating layer 122.
  • the low-k film (SiOC) constituting the insulating layer 122 is generally formed using an organic material such as trimethylsilane, the surface has a methyl group (—CH 2) as shown in FIG. 3 ) It is terminated with a hydrophobic surface.
  • the surface of the insulating layer 122 is exposed to plasma and subjected to a hydrophilization treatment, whereby the methyl group is cut to form —OH groups or Si—O—Si bonds as shown in FIG. As a result, the surface becomes hydrophilic.
  • the surface of the insulating layer 122 is hydrophilized, it becomes possible to efficiently deposit the MnOx film in the subsequent thin film forming step.
  • this plasma treatment can exert its effect if it is performed for at least about several seconds.
  • the process pressure at the time of plasma treatment and the high frequency power to be applied are not particularly limited, but practically the process pressure is in the range of 10 0 to 10 5 Pa and the high frequency power is in the range of 10 1 to 10 4 watts. .
  • this plasma treatment can also be performed in the pretreatment apparatus shown in FIG.
  • O 2 gas is used during the plasma treatment, the formation of —OH groups is promoted on the surface of the insulating layer, so that the MnOx film can be deposited more efficiently.
  • plasma of a process gas containing H plasma of a process gas containing C, plasma of a process gas containing N, or a process containing halogen such as F Gas plasma may be used.
  • the surface modification treatment which is a second example of the hydrophilization treatment, is carried out by installing a known ultraviolet ozone treatment device as the hydrophilization treatment device 32 in FIG. be able to.
  • a known ultraviolet ozone treatment device As the hydrophilization treatment device 32 in FIG. be able to.
  • the wafer W is exposed to an atmosphere of oxygen-containing gas including ozone and O 2 gas, and at the same time, ultraviolet rays are irradiated to modify the surface of the insulating layer 122 to make it hydrophilic.
  • a low-pressure mercury lamp (wavelength: 185 to 254 nm), an Xe excimer lamp (wavelength: 172 nm) or the like can be used, and preferably short-wavelength ultraviolet light (wavelength: 240 nm or less) is used.
  • the GCIB process which is a third example of the hydrophilization process, includes the gas cluster ion beam process, and is implemented by installing a well-known GCIB process apparatus as the hydrophilization apparatus 32 in FIG. Can do.
  • a cluster in which several to thousands of atoms and molecules are gently bonded is ionized to a positive charge, and this is accelerated at an acceleration voltage of 2.5 to 80 kV to irradiate the surface of the insulating layer 122.
  • the gas used here is, for example, a rare gas such as O 2 , N 2 , H 2 , CH 4 , Ar, or He, and a mixed gas thereof may be used.
  • the hydrophobic surface is modified to the hydrophilic surface.
  • the surface of the insulating layer 122 is hydrophilized, it becomes possible to efficiently deposit the MnOx film in the subsequent thin film forming step.
  • the visible light irradiation process which is a fourth example of the hydrophilization process, includes the irradiation process of visible light having a wavelength of 425 nm, and a visible light irradiation processing apparatus is installed as the hydrophilic treatment apparatus 32 in FIG. Can be implemented.
  • the surface of the insulating layer 122 is irradiated with visible light having a wavelength of 425 nm (purple light) as described above.
  • the bond energy between silicon terminated on the surface of the insulating layer 122 and the methyl group (Si—CH 3 ) corresponds to an energy of 425 nm.
  • the hydrophobic surface can be modified to the hydrophilic surface by converting into —OH group or Si—O—Si bond (see FIG. 8).
  • the surface of the insulating layer 122 is hydrophilized, it becomes possible to efficiently deposit the MnOx film in the subsequent thin film forming step.
  • the thin film formation step S2 is performed in the film formation processing apparatus 38.
  • both the annealing processing apparatus 34 and the adhesion processing apparatus 36 in FIG. 1 need not be installed.
  • a film forming apparatus 38 as shown in FIG. 3 is used. That is, the wafer W on the mounting table 104 is heated to a predetermined temperature by the resistance heater 106 and the inside of the processing container 100 is evacuated, and the shower head 108 contains the H 2 gas as a carrier gas in the processing container 100.
  • a Mn source gas is supplied to cause a decomposition reaction on the wafer surface, and a MnOx film is formed as a thin film 124 containing the first metal on the surface of the insulating layer 122 formed on the surface of the wafer W (see FIG. 4C). ).
  • the Mn source gas is supplied here using H 2 gas as the carrier gas as described above.
  • the Mn source gas decomposes when it comes into contact with oxygen during heating, and manganese has a property of being strongly bonded to oxygen
  • the MnOx film reacts with the oxygen component in the insulating layer 122 containing the oxygen component. Will be formed. Therefore, the MnOx film is hardly deposited on the exposed copper surface, which is the wiring layer 3 exposed at the bottom in the recess 2.
  • the surface of the insulating layer 122 is a hydrophilic surface, the thin film 124 made of the MnOx film is quickly and easily deposited, not only the surface of the insulating layer 122, A film can also be efficiently formed on the exposed surface in the recess 2.
  • the process conditions at this time are a process temperature in the range of 100 to 400 ° C., for example, about 200 ° C., and a process pressure in the range of 10 0 to 10 5 Pa, for example, 133 Pa.
  • the gas flow rate is about 0.2 to 10 sccm for the Mn source gas, about 10 to 100 sccm for the carrier gas, and forms a MnOx film having a thickness of about 1 to 7 nm.
  • an annealing treatment is performed in addition to the hydrophilic treatment performed in the first embodiment.
  • an annealing step S1-1 is performed between the hydrophilization step S1 and the thin film formation step S2 described above, in which the wafer W is annealed by heating to a predetermined temperature. ing.
  • the wafer W is heated at a temperature higher than the process temperature in the thin film formation step so that moisture in the insulating layer 122 is removed.
  • This annealing treatment can be performed by the annealing treatment apparatus 34 in FIG. 1, and an inert gas such as N 2 gas or a rare gas such as Ar or He is allowed to flow during the treatment so that the insulating layer 122 is used. Remove moisture contained in it.
  • This annealing treatment is performed for the following reason. That is, since the Mn source gas used in the thin film forming step S2, which is a subsequent step of the annealing step, has a characteristic that it is very easy to react with moisture, when moisture is generated from the insulating layer 122 in the thin film forming step. This is because the film thickness of the formed MnOx film varies depending on the amount of the generated water, and the reproducibility of the film forming process is poor.
  • the process temperature during the annealing process is higher than the process temperature in the thin film forming step, and according to this, in the thin film forming step, moisture is extracted from the insulating layer 122. It can be surely prevented.
  • the process temperature in the annealing step may be set to a temperature higher than the above temperature, for example, 220 ° C.
  • the annealing step S1-1 may be performed not immediately between the steps S1 and S2 but immediately before the hydrophilization step in step S1.
  • this annealing treatment can be performed by the pretreatment apparatus shown in FIG.
  • a third embodiment of the method of the present invention will be described.
  • water (water vapor) and / or oxygen-containing gas is added to the surface of the insulating layer 122.
  • Adhesion treatment is performed for adhesion.
  • an adhesion step S1-2 for performing the above-described adhesion treatment is performed between the annealing step S1-1 and the thin film formation step S2 of the second embodiment.
  • This adhesion treatment can be performed by the adhesion treatment device 36 in FIG. 1, and by exposing the wafer W to an atmosphere of water (water vapor) or an oxygen-containing gas such as O 2 gas, the water vapor or O 2 gas is exposed to the insulating layer.
  • an atmosphere of water water vapor
  • an oxygen-containing gas such as O 2 gas
  • the deposition amount of the MnOx film in the subsequent process is increased.
  • this adhesion processing may be performed by exposing the wafer W to an atmosphere having a certain humidity without using the adhesion processing apparatus 36.
  • the adhesion step S1-2 may be performed immediately before the annealing step S1-1 or immediately before the hydrophilic treatment step S1 in the first embodiment. Further, in the flowchart shown in FIG. 7, the order of the hydrophilization step S1 and the annealing step S1-1 may be reversed.
  • this adhesion treatment can be performed by the pretreatment device 42 shown in FIG. Therefore, if the pretreatment device 42 shown in FIG. 2 is provided, not only can the hydrophilic treatment (plasma treatment), annealing treatment and adhesion treatment be performed continuously in this device, but also the number of treatment steps can be reduced. If desired, in addition to the hydrophilic treatment (plasma treatment), any one of annealing treatment and adhesion treatment can be performed.
  • the XRF is a fluorescent X-ray analyzer.
  • Ar plasma treatment was performed as the plasma treatment.
  • the deposition time of the MnOx film is 600 seconds.
  • the MnOx film is hardly deposited.
  • the film thickness of the deposited MnOx film reaches 1 nm even if the plasma treatment is performed for about 15 seconds, for example, and shows a good result.
  • the plasma treatment is further performed for about 117 seconds or more, the film thickness of the deposited MnOx film is It reached 2.3 nm or more and was found to be very excellent.
  • FIG. 10 is a view showing the wettability state of the surface of the SiOC film
  • FIG. 10 (A) is a view showing the wettability results of the TEOS film (SiO 2 film) and the SiOC film
  • FIG. 10 (B) is the wettability. It is a diagram showing the dependence of sexual O 2 plasma treatment time.
  • H 2 O water
  • C 2 H 5 OH ethyl alcohol
  • the SiO 2 film of TEOS has wettability to both H 2 O and ethyl alcohol
  • the SiOC film has wettability to ethyl alcohol.
  • the difference in wettability is caused by the fact that Si—O—Si bonds and Si—OH bonds exist on the surface of the TEOS SiO 2 film, whereas Si—CH 3 bonds exist on the surface of the SiOC film. It is expected that it exists.
  • FIG. 11 is a schematic diagram showing a cross section centered on the MnOx film of the wafer when the accelerated load test is performed
  • FIG. 12 is a graph showing the analysis results of elements in a specific part in FIG. FIG.
  • FIG. 12 is a graph showing the distribution of elements in the A part (immediately after the formation of the MnOx film), which is the boundary part of the MnOx film in FIG. 11, and FIG. It is a graph which shows distribution of the element of B section (after an acceleration load test) in a SiOC film.
  • O 2 plasma treatment was performed for 10 seconds as a hydrophilic treatment, and a MnOx film was formed for 30 minutes as a thin film formation treatment.
  • the process conditions at this time are a process temperature of 200 ° C., a process pressure of 133 Pa, an H 2 carrier gas of 25 sccm, and a Mn source gas: (EtCp) 2 Mn of 7 sccm.
  • a Cu film was deposited on the MnOx film by sputtering.
  • such a wafer was allowed to stand in an atmosphere at 400 ° C. for 100 hours and annealed (pressure: 5 ⁇ 10 ⁇ 5 Pa or less).
  • the thickness of the MnOx film was about 3.2 nm, and a sufficiently thick MnOx film was deposited.
  • Mn and Cu exist in the vicinity of the boundary of the MnOx film.
  • Cu does not exist in the SiOC film, and Cu element does not diffuse even when the accelerated load test is performed, and the MnOx film is sufficiently used as a barrier layer. It can be seen that it performs a function.
  • the SiOC film has been described as an example of the insulating layer 122 having a low relative dielectric constant.
  • the present invention is not limited to this, and the SiOC film, the SiO film, the SiOF film, the SiC film, the SiCOH film, and the SiCN film are not limited thereto.
  • One or more films selected from the group consisting of a porous silica film, a porous methylsilsesquioxane film, a polyarylene film, a SiLK (registered trademark) film, and a fluorocarbon film can be used.
  • the present invention is not limited to this, and the present invention can be applied even when the MnOx (or MnSixOy film) is formed by the PVD method.
  • MnOx or MnSixOy film
  • the present invention can be applied even when the MnOx (or MnSixOy film) is formed by the PVD method.
  • MnOx was formed at the interface between Cu and the insulating film.
  • MnOx was not formed at the interface between Cu and the insulating film.
  • the condition that Mn atoms dissolved and diffused in the Cu layer react with O atoms of the insulating film adjacent to the Cu layer to form a MnOx thin film at the interface is that the insulating film surface is hydrophilic. Therefore, when the surface of the insulating film has hydrophobicity, it is effective to perform a hydrophilic treatment on the surface.
  • the semiconductor wafer includes a silicon substrate and a compound semiconductor substrate such as GaAs, SiC, GaN, and the like, and is not limited to these substrates.
  • the present invention can also be applied to glass substrates, ceramic substrates, and the like used in display devices.
  • a semiconductor device having a film structure formed by the above-described film forming method of the present invention and an electronic apparatus including the semiconductor device are also within the scope of the present invention.

Abstract

 凹部(2)を有するlow-k膜からなる絶縁層(122)が表面に形成された被処理体(W)にMnを含む薄膜を形成する成膜方法において、絶縁層の表面に親水化処理を施して親水性の表面にする親水化工程と、親水化処理の行われた絶縁層の表面にMn含有原料を用いて成膜処理を施すことによりMnを含む薄膜を形成する薄膜形成工程とを有する。これにより、比誘電率の低いlow-k膜からなる絶縁層の表面にMnを含む薄膜、例えばMnOx膜を効率的に形成する。

Description

成膜方法、前処理装置及び処理システム
 本発明は、半導体ウエハ等の被処理体の表面に形成された凹部を有するlow-k膜からなる絶縁膜内にMnを含む薄膜を成膜する技術に関する。
 一般に、半導体デバイスを製造するには、半導体ウエハに成膜処理やパターンエッチング処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、半導体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径が益々微細化されている。そして、配線材料や、トレンチ、ホールなどの凹部内への埋め込み材料としては、各種寸法の微細化により、より電気抵抗を小さくする必要から電気抵抗が非常に小さくて且つ安価である銅を用いる傾向にある(特開2004-107747号公報を参照)。そして、この配線材料や埋め込み材料として銅を用いる場合には、その下層への銅の拡散バリヤ性等を考慮して、一般的にはタンタル金属(Ta)やタンタル窒化膜(TaN)等がバリヤ層として用いられる。
 そして、上記凹部内を銅で埋め込むには、まずプラズマスパッタ装置内にて、この凹部内の壁面全体を含むウエハ表面全面に銅膜よりなる薄いシード膜を形成し、次にウエハ表面全体に銅メッキ処理を施すことにより、凹部内を完全に埋め込むようになっている。その後、ウエハ表面の余分な銅薄膜をCMP(Chemical MechanicalPolishing)処理等により研磨処理して取り除くようになっている。
 この点については図13を参照して説明する。図13は半導体ウエハの凹部の従来の埋め込み工程を示す図である。この半導体ウエハWに形成された、例えばSiO2 膜よりなる層間絶縁膜などの絶縁層1の表面には、Single Damascene構造、Dual Damascene構造、三次元実装構造等により、ビアホールやスルーホールや溝(トレンチ)等に対応する凹部2が形成されており、この凹部2の底部には、例えば銅よりなる下層の配線層3が露出状態で形成されている。
 具体的には、この凹部2は、細長く形成された断面凹状の溝(トレンチ)2Aと、この溝2Aの底部の一部に形成されたホール2Bとよりなり、このホール2Bがビアホールやスルーホールとなる。そして、このホール2Bの底部に上記配線層3が露出しており、下層の配線層やトランジスタ等の素子と電気的な接続を行うようになっている。なお、下層の配線層やトランジスタ等の素子については図示を省略している。上記凹部2は設計ルールの微細化に伴ってその幅、或いは内径は例えば120nm程度と非常に小さくなっており、アスペクト比は例えば2~4程度になっている。なお、拡散防止膜およびエッチングストップ膜等については、図示を省略し形状を単純化して記載している。
 この半導体ウエハWの表面には上記凹部2内の内面も含めて略均一に例えばTaN膜及びTa膜の積層構造よりなるバリヤ層4がプラズマスパッタ装置にて予め形成されている(図13(A)参照)。そして、プラズマスパッタ装置にて上記凹部2内の表面を含むウエハ表面全体に亘って金属膜として薄い銅膜よりなるシード膜6を形成する(図13(B)参照)。上記ウエハ表面に銅メッキ処理を施すことにより上記凹部2内を例えば銅膜よりなる金属膜8で埋め込むようになっている(図13(C)参照)。その後は、上記ウエハ表面の余分な金属膜8、シード膜6及びバリヤ層4を上記したCMP処理等を用いて研磨処理して取り除くことになる。
 そして、上記バリヤ層の更なる信頼性の向上を目標として種々の開発がなされており、中でも上記Ta膜やTaN膜に代えてMn膜やCuMn合金膜を用いた自己形成バリヤ層が注目されている(特開2005-277390号公報を参照)。このMn膜やCuMn合金膜は、スパッタリングにより成膜されて、更にこのMn膜やCuMn合金膜自体がシード膜となるので、この上方にCuメッキ層を直接形成できメッキ後にアニールを施すことで自己整合的に下層の絶縁膜であるSiO層と反応して、このSiO層とMn膜やCuMn合金膜との境界部分にMnSixOy(x、y:任意の正数)膜、或いはMnとSiO層の酸素とが反応することにより生ずるマンガン酸化物MnOx(x:任意の正数)膜というバリヤ膜が形成されるため、製造工程数も削減できる、という利点を有する。なおマンガン酸化物は、Mnの価数によってMnO、Mn、Mn、MnO等の種類が存在するが、本明細書中では、これらを総称してMnOxと記述する。またスパッタ法に比べて微細な線幅やホール径に対して良好な段差被覆性で膜を堆積することができるCVD法によりMnSixOy膜、あるいはMnOx膜の成膜をおこなうことが検討されている(特開2008-013848号公報を参照)。
 ところで、最近にあっては、半導体装置の更なる高速動作の要請から層間絶縁膜の比誘電率をより低くすることが求められており、このような要請から、層間絶縁膜の材料としてTEOSにより形成したシリコン酸化膜から、より比誘電率の低い材料として例えばメチル基等の有機基を含んだSiOC、SiCOHなどからなるLow-k膜を用いることが検討されている。ここで上記TEOSを用いて形成したシリコン酸化膜の比誘電率は4.1程度であり、SiOCの比誘電率は3.0程度である。しかしながら、層間絶縁膜としてLow-k膜を用いた場合には、この凹部内の露出面を含めて比誘電率の低い層間絶縁膜の表面にCVD法によりMn含有膜の成膜処理を施してもMnOx膜がほとんど堆積しないので、バリヤ層を形成することができない、といった問題がある。
 本発明は、Low-k膜からなる絶縁層の表面にMnを含む薄膜、例えばMnOx膜を効率的に形成することが可能な成膜方法、前処理装置及び処理システムを提供するものである。
 本発明者等は、Low-k膜からなる絶縁層の表面にMnOxを堆積させる方法について鋭意研究した結果、MnOxの成膜処理を行う前に絶縁層の表面をプラズマに曝す等の親水化処理を施して絶縁層の濡れ性を良好にすることにより、MnOxの薄膜を効率的に堆積させることができる、という知見を得ることにより本発明に至ったものである。
 本発明の第1の観点によれば、凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する成膜方法において、前記絶縁層の表面に親水化処理を施して親水性の表面にする親水化工程と、前記親水化処理の行われた前記絶縁層の表面にMn含有原料を用いて成膜処理を施すことによりMnを含む薄膜を形成する薄膜形成工程と、を有する成膜方法が提供される。
 本発明の第2の観点によれば、凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する成膜方法において、前記絶縁層の表面に親水化処理を施して親水性の表面にする親水化工程と、前記親水化処理の行われた前記絶縁層の表面にMn含有原料ガスを用いて成膜処理を施すことによりMnを含む薄膜を形成する薄膜形成工程と、を有する成膜方法が提供される。
 上記のようにMnを含む薄膜を成膜する前にlow-k膜の表面を親水化することにより、Mnを含む薄膜、例えばMnOx膜を効率的に形成することが可能となる。
 前記親水化処理は、前記絶縁層に対してプラズマ処理を施すことにより実行することができる。このプラズマ処理では、酸素含有ガス及び/又は希ガスを用いることができる。
 前記親水化処理は、紫外線と酸素含有ガスとを用いて前記絶縁層の表面の改質を行う紫外線オゾン処理を施すことにより実行することもできる。
 前記親水化処理は、前記絶縁層の表面に対してガスクラスターイオンビームを照射するGCIB処理を施すことにより実行することもできる。
 前記親水化処理は、前記絶縁層の表面に波長が425nmの可視光を照射する可視光照射処理を施すことにより実行することもできる。
 前記薄膜形成工程の前には、前記絶縁層を前記薄膜形成工程におけるプロセス温度よりも高い温度でアニール処理して前記絶縁層中の水分を抜くアニール工程を行うことができる。
 前記薄膜形成工程の前には、前記絶縁層の表面に水及び/又は酸素含有ガスを付着させる付着処理を施す付着工程を行うこともできる。
 前記low-k膜は、比誘電率が4.1よりも小さい誘電率材料からなる膜として定義される。前記low-k膜は、SiOC膜とSiO膜とSiOF膜とSiC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜により構成することができる。
 前記Mn含有原料は、Cp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料とすることができる。
 本発明の第3の観点によれば、凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する前に行われる前処理を実施する前処理装置において、排気が可能になされた処理容器と、前記処理容器内に設けられて前記被処理体を載置するための載置台構造と、前記被処理体を加熱する加熱手段と、前記処理容器内へガスを導入するガス導入手段と、前記ガス導入手段へ、希ガスと水蒸気と酸素含有ガスとを供給することができるガス供給手段と、前記絶縁層の表面を親水化する親水化手段と、装置全体を制御して前記被処理体にアニール処理と付着処理と親水化処理とを施すようにする装置制御部と、を備えた前処理装置が提供される。
 前記親水化手段は、プラズマ発生手段、紫外線照射手段、GCIB処理手段および波長が425nmの可視光照射手段の内のいずれか1つとすることができる。
 本発明の第4の観点によれば、凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する処理システムにおいて、内部に前記被処理体を搬送するための搬送機構を有する共通搬送室と、前記共通搬送室に連結されて前記被処理体に対して親水化処理を施す親水化処理装置と、前記共通搬送室に連結されて前記被処理体に対してMnを含む薄膜を形成する成膜処理を施す成膜処理装置と、処理システム全体の動作を制御するシステム制御部と、を備えた処理システムが提供される。
 前記親水化処理装置は、プラズマ処理装置、紫外線照射処理装置、ガスクラスターイオンビーム照射処理装置および波長が425nmの可視光を照射する可視光照射処理装置の内のいずれか1つの処理装置とすることができる。
 前記共通搬送室に、前記被処理体に対してアニール処理を施すアニール処理装置を連結することができる。
 前記共通搬送室に、前記被処理体に対して水及び/又は酸素含有ガスを付着させる付着処理を施す付着処理装置を連結することもできる。
 本発明の第5の観点によれば、凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する処理システムにおいて、内部に前記被処理体を搬送するための搬送機構を有する共通搬送室と、前記共通搬送室に連結された前述の前処理装置と、前記共通搬送室に連結されて前記被処理体に対してMnを含む薄膜を形成する成膜処理を施す成膜処理装置と、処理システム全体の動作を制御するシステム制御部と、を備えた処理システムが提供される。
本発明方法を実施するための処理システムの一例を示す概略構成図である。 親水化処理とアニール処理と付着処理の3つの処理を行うことができる前処  理装置を示す概略断面図である。 マンガン酸化物を成膜する成膜装置を示す概略断面図である。 本発明の成膜方法の全体を説明するための全体説明図である。 本発明の成膜方法の第1実施例を示すフローチャートである。 本発明の成膜方法の第2実施例を示すフローチャートである。 本発明の成膜方法の第3実施例を示すフローチャートである。 絶縁層の表面を親水化処理した時の変化の態様の一例を示す図である。 プラズマ処理時間とXRF膜厚(MnOx換算)との関係を示すグラフである。 Low-k膜(SiOC)の表面の濡れ性の状態を示す図である。 加速負荷試験をおこなった時のウエハのMnOx膜を中心とする断面を示す模式図である。 図11中の特定部分の元素の分析結果を示すグラフである。 半導体ウエハの凹部の従来の埋め込み工程を示す図である。
 以下に、本発明に係る成膜方法、前処理装置及び処理システムの一実施例を添付図面に基づいて詳述する。図1は本発明方法を実施するための処理システムの一例を示す概略構成図、図2は親水化処理とアニール処理と付着処理の3つの処理を行うことができる前処理装置を示す概略断面図、図3はマンガン酸化物を成膜する成膜装置を示す概略断面図、図4は本発明の成膜方法の全体を説明するための全体説明図、図5は本発明の成膜方法の第1実施例を示すフローチャート、図6は本発明の成膜方法の第2実施例を示すフローチャート、図7は本発明の成膜方法の第3実施例を示すフローチャート、図8は絶縁層の表面を親水化処理した時の変化の態様の一例を示す図である。
 図1に示すように、本発明に係る処理システム10は、被処理体である半導体ウエハWを搬送する搬送機構12を内部に備えた共通搬送室14を有している。この共通搬送室14は、内部が真空排気可能になされており、通常の運用時には減圧雰囲気になされている。上記搬送機構12は、ウエハWを保持するために2つのピック12A、12Bを有しており、旋回及び屈伸可能になされて、後述するように各種処理装置やロードロック室に対してウエハWを搬入・搬出させることができるようになっている。
 図示例では上記共通搬送室14は、六角形状に成形されており、この六角形の内の隣り合う2辺に対して開閉可能になされたゲートバルブGを介してロードロック室16、18が連結されている。このロードロック室16、18は、真空排気及び大気圧復帰が可能になされており、ウエハWの搬入、搬出時に大気圧雰囲気と真空雰囲気とに交互に繰り返され、共通搬送室14内の真空状態を維持したままウエハWを搬出入させることができるようになっている。そして、各ロードロック室16、18の内部には、ウエハWを一時的に支持する支持台20がそれぞれ設けられている。
 また上記各ロードロック室16、18の反対側には、ゲートバルブGを介して横長の箱状になされたローダ室22が連結されている。このローダ室22の長手方向の一辺には、複数のカセット台24が取り付けられており、この上に複数枚のウエハWを収容することができるカセット26を載置できるようになっている。そして、この各カセット26が取り付けられたローダ室22の側壁には、開閉可能になされたゲートドア27が設けられており、このゲートドア27を開いてローダ室22内との間でウエハWの搬出入を行うことができるようになっている。
 また、このローダ室22内には、その長手方向に沿って移動可能になされた搬送アーム28が設けられている。この搬送アーム28は、旋回及び屈伸可能になされた2つのピック28A、28Bを有しており、このピック28A、28BでウエハWを保持して搬送できるようになっている。また上記横長のローダ室22の一端には、ウエハWの位置合わせ及び方向合わせを行うオリエンタ30が設けられている。
 ここでウエハWの一連の流れについて説明する。まず未処理のウエハWを収容したカセット26がローダ室22に設けたいずれかのカセット台24に載置されると、このカセット26内のウエハWは搬送アーム28によって大気圧雰囲気のローダ室22内に取り込まれる。そして、このウエハWは、搬送アーム28によってオリエンタ30まで搬送されて、ここでウエハWに形成されているノッチやオリエンテーションフラットを基に位置合わせ及び方向合わせが行われる。このウエハWは再度、搬送アーム28により搬送されていずれか一方のロードロック室、例えばロードロック室16内へ搬入される。
 このロードロック室16内は大気圧から真空雰囲気になされた後に、このロードロック室16内に収容されたウエハWは、共通搬送室14内に設けられた搬送機構12により受け取られて、この共通搬送室14内へ搬入されることになる。そして、この未処理のウエハWは、上記搬送機構12により後述する各処理装置内へ搬入されると共に、各処理装置において所定の各処理が施されることになる。その後、この処理済みのウエハWは、上記いずれか一方のロードロック室、例えばロードロック室18を介してローダ室22側へ搬出され、更に、処理済みのウエハWを収容する所定のカセット26内へ収容されることになる。
 次に、上記共通搬送室14に連結される各処理装置について説明する。図1においては、六角形状の共通搬送室14に対して複数、すなわちここでは4つの処理装置が、それぞれゲートバルブGを介して連結されて、いわゆるクラスタツール構造になされている。上記4つの処理装置は、ウエハWに対して親水化処理を行う親水化処理装置32と、ウエハWに対してアニール処理を行うアニール処理装置34と、ウエハWに対して水分等を付着させる付着処理装置36と、ウエハWに対してMnを含む薄膜、例えばMnOx膜を形成する成膜処理装置38よりなる。 
 ここで、本発明方法を実施するために必要な処理装置は、親水化処理装置32と成膜処理装置38であり、他の処理装置、すなわちアニール処理装置34と付着処理装置36は必要に応じて設けるようにすればよい。上記親水化処理装置32は、ウエハWの表面に形成されている絶縁層の表面に対して親水化処理を施して親水性の表面にするものである。この親水化処理の方法としては、ここでは以下に示す4種類を挙げることができ、いずれの親水化処理を行ってもよい。
 第1の親水化処理は、ウエハWの絶縁層に対して酸素含有ガス及び/又は希ガスを用いてプラズマ処理を施すことを内容とし、この場合には親水化処理装置32としてはプラズマ処理装置が用いられ、図1ではプラズマ処理装置が用いられている場合を示している。第2の親水化処理装置は、ウエハWの絶縁層に対して紫外線と酸素含有ガスとを用いて表面の改質を行う表面改質処理を施すことを内容とし、この場合には親水化処理装置32としては紫外線照射処理装置が用いられる。 
 第3の親水化処理は、ウエハWの絶縁層の表面に対してガスクラスターイオンビームを照射するGCIB(Gass Cluster Ion Beam)処理を施すことを内容とし、この場合には親水化処理装置32としてガスクラスターイオンビーム照射処理装置が用いられる。第4の親水化処理は、ウエハWの絶縁層の表面に対して波長が425nmの可視光を照射して可視光照射処理を施すことを内容とし、この場合には親水化処理装置32として可視光照射処理装置が用いられる。尚、波長425nmの可視光(紫色)はシリコンとメチル基(Si-CH)の結合エネルギーに相当し、このメチル基を効率的に切断することができる波長である。上述したように、上記親水化処理装置32としては、上記4種類の処理装置のいずれか1つの処理装置を用いる。
 また、上記アニール処理装置34では、ウエハWを所定のプロセス温度に加熱し、ウエハWに形成されている絶縁層中の水分を蒸発させて抜くようになっている。この場合、プロセス温度は、上記成膜処理装置38におけるプロセス温度よりも高い温度になるように設定する。このアニール処理においては、処理装置内に不活性ガス、例えばNやAr、He等の希ガスを流すのがよい。また上記付着処理装置36では、ウエハWの絶縁層の表面に薄膜の堆積を促進させるために水(水蒸気)及び/又は酸素含有ガスを付着させるようになっている。
 <前処理装置>
 また上記3つの処理装置、すなわち親水化処理装置32とアニール処理装置34と付着処理装置36をまとめて1つの前処理装置として構成することができる。図2は、このような前処理装置を示す断面図である。図示するように、この前処理装置42は、アルミニウム合金等により成形された円筒体状の処理容器44を有しており、この処理容器44は接地されている。この処理容器44の側壁には搬出入口46が設けられ、この搬出入口46はゲートバルブGを介して共通搬送室14に連結されており、ウエハWを搬出入できるようになっている。
 またこの処理容器44の底部には排気口48が形成されており、この排気口48には排気手段50が接続されている。この排気手段50は、上記排気口48に接続された排気通路51を有しており、この排気通路51には、バタフライ弁のような圧力調整弁52及び真空ポンプ54が順次介設されて、処理容器44内の雰囲気を圧力調整しつつ真空引きできるようになっている。また、上記処理容器44の天井部には、ガス導入手段として例えばシャワーヘッド56が設けられており、このガス噴射面に設けたガス孔56Aより必要なガスを処理容器44内へ導入するようになっている。そして、このシャワーヘッド56には、必要なガスを供給するガス供給手段58が接続されている。
 このガス供給手段58は、上記シャワーヘッド56のガス入口56Bに接続されたガス通路60を有している。このガス通路60の上流側は複数に分岐されて分岐路62となっており、各分岐路62の途中には、マスフローコントローラのような流量制御器64や開閉弁66がそれぞれ介設されており、必要なガスを流量制御しつつ供給できるようになっている。ここでは希ガスとしてAr、酸素含有ガスとして酸素、またはオゾン、或いは両者の混合ガス、不活性ガスとしてN 、水分(水蒸気、HO)をそれぞれ必要に応じて供給できるようになっている。なお水分は不活性ガスによるバブリング法での供給としてもよい。
 また処理容器44内には、上記ウエハWを載置するための載置台構造68が設けられている。この載置台構造68は、容器底部より起立された支柱70と、この支柱70の上端部に設けられた円板状の例えばセラミック製の載置台72とにより構成されている。そして、この載置台72に加熱手段として例えば抵抗加熱ヒータ74が設けられており、この載置台72上に載置されたウエハWを所望の温度に加熱するようになっている。そして、この抵抗加熱ヒータ74には、給電線76を介してヒータ電源78が接続されており、必要な給電を行うようになっている。
 そして、この前処理装置42は、ウエハWの絶縁層の表面を親水化する親水化手段80を有している。具体的には、ここでは親水化手段80として、処理容器44内にプラズマを発生させるプラズマ発生手段82が設けられている。このプラズマ発生手段82は、上記載置台72内の上部に埋め込まれた下部電極84を有しており、この下部電極84には給電線86が接続されている。そして、この給電線86は、途中にマッチング回路88を介して高周波電源90に接続されており、この下部電極84と、これに対向する上部電極であるシャワーヘッド56との間に必要に応じて高周波電力を印加して処理空間92にプラズマを生成できるようになっている。この高周波電力の周波数としては、例えば13.56MHzを用いることができるが、この周波数に特に限定されるものではない。
 また、この前処理装置42の全体動作を制御するために、例えばコンピュータ等よりなる装置制御部94を有しており、この装置制御部94は動作に必要なコンピュータに読み取り可能なプログラムを記憶する記憶媒体96を有している。この記憶媒体96は、フレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等よりなる。
 これにより、この前処理装置42において、上記親水化処理、アニール処理及び付着処理を連続的に行うことができるようになっている。尚、この前処理装置42で、親水化処理のみ、或いは親水化処理に加えてアニール処理と付着処理の内のいずれか一方のみを行うようにしてもよい。また、ここではプラズマ発生手段82として平行平板型(容量結合型)のプラズマ発生手段を用いた場合を例にとって説明したが、これに代えて、誘導結合型のプラズマ発生手段、ヘリコン波励起型のプラズマ発生手段、マイクロ波励起表面波プラズマ発生手段[RLSA(Radial Line Slot Antenna)マイクロ波プラズマやSPA(Slot Plane Antenna)プラズマを含む]、電子サイクロトロン共鳴プラズマ発生手段、リモートプラズマ発生手段等を用いることができる。
 <成膜処理装置>
 次に図3も参照して成膜処理装置38の概略構成について説明する。この成膜処理装置38は、前述したようにウエハWの絶縁層の表面にMn含有原料ガス(以下「Mn原料ガス」とも称する)を用いてMnを含む薄膜を形成するものである。この成膜処理装置38としては、例えば特開2009-016782号公報に開示されたような成膜装置に類似する成膜装置を用いることができる。
 図3に示すように、この成膜処理装置38は、内部雰囲気が真空排気可能になされた処理容器100を有しており、この処理容器100の側壁には搬出入口102が設けられ、この搬出入口102はゲートバルブGを介して共通搬送室14に連結されている。この処理容器100内には、容器底部より起立された載置台104が設けられており、この載置台104内には加熱手段として抵抗加熱ヒータ106が埋め込まれている。これにより、載置台104の上面に載置したウエハWを所定の温度に加熱し得るようになっている。
 また処理容器100の天井部には、ガス導入手段としてシャワーヘッド108が設けられている。このシャワーヘッド108内には、ガス拡散空間110が形成されており、このガス拡散空間110と処理空間112とを連通させてガス噴出孔114が形成されている。そして、このガス拡散空間にMn原料ガスを流量制御しつつ流すようになっている。この場合、上記Mn原料ガスは、HやNや希ガス等のキャリアガスと共に流され、ここではキャリアガスとしてHガスが用いられている。
 またMn原料ガスとしては、マンガンを含む有機金属材料である(EtCp) Mn[=Mn(C ]を用いることができる。そして、上記Mn原料ガスは、ガス噴出孔114より処理空間112に供給されてウエハWの表面に到達し、そこで分解して熱CVD反応によってウエハW上の絶縁層の表面に第1の金属を含む薄膜としてMnOx膜を成膜するようになっている。この場合、ウエハWの表面で分解して生じたマンガンが絶縁層の酸素成分と結合して上記MnOx膜が形成される。尚、この成膜処理装置38は単に一例を説明したに過ぎず、これに限定されず、他の成膜方法、例えばPVD法によってMnOx膜を形成するようにしてもよい。
 そして、図1に戻って、このように形成された処理システム10の全体動作を制御するために、例えばコンピュータ等よりなるシステム制御部116を有しており、このシステム制御部116は動作に必要なコンピュータに読み取り可能なプログラムを記憶する記憶媒体120を有している。この記憶媒体120は、フレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等よりなる。各処理装置32~38の動作は、このシステム制御部116の支配下で動作することになり、これにより後述する各処理が行われる。
 <本発明方法の説明>
 次に、以上のように構成された処理システム10を用いて行われる本発明方法について説明する。図4は本発明の成膜方法の全体を説明するための全体説明図、図5は本発明の成膜方法の第1実施例を示すフローチャート、図6は本発明の成膜方法の第2実施例を示すフローチャート、図7は本発明の成膜方法の第3実施例を示すフローチャート、図8は絶縁層の表面を親水化処理した時の変化の態様の一例を示す図である。
 まず、半導体ウエハWが本発明方法に関して未処理の状態では、図4(A)に示す状態になされており、絶縁層がTEOSにより形成されたSiO膜から比誘電率の低い絶縁層122に変更した点を除いて図13(A)に示す構造(バリヤ層4を除く)と同様な構造になされている。すなわち、半導体ウエハWに形成された例えばLow-k膜(SiCO)と呼ばれる比誘電率の低い材料よりなる絶縁層(層間絶縁膜)122の表面には、Single Damascene構造、Dual Damascene構造、三次元実装構造等により、ビアホールやスルーホールや溝(トレンチ)等に対応する凹部2が形成されており、この凹部2の底部には、例えば銅よりなる下層の配線層3が露出状態で形成されている。ここでLow-k材料とは、SiO膜の比誘電率である4.1より低い比誘電率を有する材料を意味している。
 具体的には、上記凹部2は、細長く形成された断面凹状の溝(トレンチ)2Aと、この溝2Aの底部の一部に形成されたホール2Bとよりなり、このホール2Bがコンタクトホールやスルーホールとなる。そして、このホール2Bの底部に上記配線層3が露出しており、下層の配線層やトランジスタ等の素子と電気的な接続を行うようになっている。なお、下層の配線層やトランジスタ等の素子については図示を省略している。上記凹部2は設計ルールの微細化に伴ってその幅、或いは内径は例えば120nm程度と非常に小さくなっており、アスペクト比は例えば2~4程度になっている。なお、拡散防止膜およびエッチングストップ膜等については、図示を省略して形状を単純化して記載している。
 そして、図4(A)に示すようなウエハWに対して、前処理として図4(B)に示すような前処理を施して絶縁層122の表面を親水化する(S1)。その後、図4(C)に示すように上記絶縁層122の表面及び凹部2内の露出面に第1の金属を含む薄膜124を形成する薄膜形成工程を行う(S2)。そして絶縁層122の表面が親水性表面になっているので、薄膜124は効率的に堆積されることになる。ここで薄膜124は前述したように、MnOx膜よりなり、このMnOx膜はバリヤ層として機能する。
 そして、この薄膜124の表面(凹部2内の露出面も含む)にスパッタリング等により銅のシード膜を形成し、更に銅メッキ処理を施すことにより上記凹部2内を例えば銅膜よりなる金属膜8で埋め込むようになっている(図4(D)参照)。その後は、上記ウエハ表面の余分な金属膜8、シード膜及びバリヤ層124を上記したCMP処理等を用いて研磨処理して取り除くことになる。
 ここで図4(B)に示す前処理について詳しく説明する。この前処理には、図5に示す第1実施例と図6に示す第2実施例と図7に示す第3実施例が存在し、いずれの実施例を行ってもよい。
 <第1実施例>
 まず、図5に示す第1実施例は絶縁層122の表面に親水化処理を施す上記親水化工程S1を行って、次に薄膜124、ここではMnOx膜を形成する成膜処理を施す薄膜形成工程S2を行っている。上記親水化処理には、前述したようにプラズマ処理と紫外線オゾン処理とGCIB処理と可視光照射処理の4つの処理方法があり、これらの4つの処理方法の内のいずれか1つの処理方法を選択して行う。
 (プラズマ処理)
 ここでは、親水化処理の第1例としてプラズマ処理を選択した場合について主に説明する。このプラズマ処理は、図1中の親水化処理装置32で行うことができる(図2に示す前処理装置42で行うこともできる)。このプラズマ処理ではAr等の希ガスの雰囲気中、またはO等の酸素含有雰囲気中、或いは両ガスの混合ガス雰囲気中でプラズマを発生し、絶縁層122の表面を親水化する。この絶縁層122を構成するLow-k膜(SiOC)は、一般的にはトリメチルシラン等の有機材料を用いて形成するので、図8(A)に示すように、表面はメチル基(-CH)で終端しており、疎水面となっている。そして、この絶縁層122の表面をプラズマに曝して親水化処理を施すことにより、上記メチル基は切断されて図8(B)に示すように-OH基やSi-O-Siの結合となり、これによって表面は親水化されることになる。このように絶縁層122の表面が親水化されると、この後工程における薄膜形成工程においてMnOx膜の堆積を効率的に行うことが可能となる。
 このプラズマ処理は、後述するように少なくとも僅か数秒程度行えば、その効果を発揮することができる。またプラズマ処理時のプロセス圧力や印加する高周波の電力も特に限定されないが、実用的にはプロセス圧力は10~10Paの範囲内、高周波電力は10~10ワットの範囲内である。尚、このプラズマ処理は、図2に示す前処理装置においても行うことができるのは前述した通りである。また、上記プラズマ処理時にOガスを用いた場合には、絶縁層の表面において-OH基の形成が促進されるので、その分、MnOx膜の堆積を更に効率的に行うことができる。なおLow-k絶縁層122の分子構造や構成元素によっては、Hを含む処理ガスのプラズマや、Cを含む処理ガスのプラズマや、Nを含む処理ガスのプラズマや、F等のハロゲンを含む処理ガスのプラズマを用いてもよい。
 (紫外線オゾン処理)
 次に親水化処理の第2例である表面改質処理は、紫外線オゾン処理を行うことを内容とし、図1中の親水化処理装置32として周知の紫外線オゾン処理装置を設置することにより実施することができる。この紫外線オゾン処理では、オゾンやOガスを含む酸素含有ガスの雰囲気中にウエハWを曝すと同時に紫外線を照射し、絶縁層122の表面を改質して親水化させる。この紫外線の照射には、低圧水銀ランプ(波長:185~254nm)やXeエキシマランプ(波長:172nm)等を用いることができ、好ましくは短波長紫外線(波長:240nm以下)を用いる。
 この紫外線の照射によってOガスの内の少なくとも一部がオゾンや酸素ラジカルとなり、絶縁層122の疎水性表面が親水性表面に改質されることになる。このように絶縁層122の表面が親水化されると、この後工程における薄膜形成工程においてMnOx膜の堆積を効率的に行うことが可能となる。なおLow-k膜の種類によっては紫外線照射がなくとも、希釈した微量のオゾンによる処理で親水化できることもある。
 (GCIB処理)
 次に親水化処理の第3例であるGCIB処理は、ガスクラスターイオンビーム処理を行うことを内容とし、図1中の親水化処理装置32として周知のGCIB処理装置を設置することにより実施することができる。このGCIB処理では、数個から数千の原子や分子が緩やかに結合したクラスターを正電荷にイオン化させ、これを2.5~80kVの加速電圧で加速して絶縁層122の表面に照射させるようにしている。ここで用いられるガスは、例えばO、N、H、CH、ArやHe等の希ガス等であり、これらの混合ガスを用いてもよい。上述のようにガスクラスターイオンビームを絶縁層122の表面に照射することで疎水性表面が親水性表面に改質されることになる。このように絶縁層122の表面が親水化されると、この後工程における薄膜形成工程においてMnOx膜の堆積を効率的に行うことが可能となる。
 (可視光照射処理)
 次に親水化処理の第4例である可視光照射処理は、波長が425nmの可視光を照射処理することを内容とし、図1中の親水化処理装置32として可視光照射処理装置を設置することにより実施することができる。この可視光照射処理装置では、上述したように波長が425nm(紫光)の可視光を絶縁層122の表面に照射する。ここで、前述したように絶縁層122の表面で終端しているシリコンとメチル基(Si-CH)の結合エネルギーは、425nmのエネルギーに相当するので、このメチル基を効率的に切断して前述したように-OH基やSi-O-Si結合(図8参照)に変換することにより、疎水性表面を親水性表面に改質することができる。このように絶縁層122の表面が親水化されると、この後工程における薄膜形成工程においてMnOx膜の堆積を効率的に行うことが可能となる。
 以上のように、親水化処理工程S1が終了したならば、次に成膜処理装置38において薄膜形成工程S2を行う。この第1実施例を行う場合には、図1中のアニール処理装置34及び付着処理装置36は共に設置しなくてもよい。この薄膜形成工程では、図3に示すような成膜処理装置38を用いて行われる。すなわち、載置台104上のウエハWを抵抗加熱ヒータ106により所定の温度に加熱し、処理容器100内を真空引きしつつ、この処理容器100内にシャワーヘッド108よりキャリアガスであるHガスと共にMn原料ガスを供給してウエハ表面において分解反応を生ぜしめて、上記ウエハWの表面に形成されている絶縁層122の表面に第1の金属を含む薄膜124としてMnOx膜(図4(C)参照)を形成する。上記Mn原料ガスは、ここでは上述のようにHガスをキャリアガスとして用いて供給されている。
 この場合、上記Mn原料ガスは加熱中に酸素に触れると分解し、またマンガンは酸素と強く結合し易い性質をもっているので、酸素成分を含む絶縁層122中の酸素成分と反応してMnOx膜が形成されることになる。従って、凹部2内の底部に露出する配線層3である銅の露出面にはMnOx膜がほとんど堆積しない状態となる。そして、前述したように絶縁層122の表面は親水性表面となっているので、上記MnOx膜よりなる薄膜124は、迅速に且つ容易に堆積することになり、絶縁層122の表面のみならず、凹部2内の露出面にも効率的に成膜することができる。
 この時のプロセス条件は、プロセス温度が100~400℃の範囲内、例えば200℃程度であり、プロセス圧力は10~10Paの範囲内、例えば133Paである。またガス流量は、Mn原料ガスが0.2~10sccm程度、キャリアガスは10~100sccm程度であり、1~7nm程度の厚さのMnOx膜を形成する。このようにして薄膜形成工程(S2)が終了したならば、前述したように、スパッタリングによりCuシード膜を形成し、更にメッキ処理により凹部内を銅により埋め込むことになる(図4(D)参照)。
 <第2実施例>
 次に、本発明方法の第2実施例について説明する。この第2実施例では、図4(B)に示すように、第1実施例で行った親水化処理に加えてアニール処理を行うようにしている。具体的には、図6に示すように、先に説明した親水化工程S1と薄膜形成工程S2との間で、ウエハWを所定の温度に加熱してアニール処理するアニール工程S1-1を行っている。このアニール処理では、ウエハWを上記薄膜形成工程におけるプロセス温度よりも高い温度で加熱しており、絶縁層122中の水分を抜くようにしている。
 このアニール処理は、図1中のアニール処理装置34で行うことができ、処理中には、不活性ガス、例えばNガス、或いはArやHe等の希ガスを流すようにして、絶縁層122中の含まれる水分を除去する。このアニール処理は、以下の理由で行われる。すなわち、このアニール工程の後工程である薄膜形成工程S2で用いるMn原料ガスは、水分と非常に反応し易い特性を有していることから、薄膜形成工程において絶縁層122中から水分が発生すると、この発生した水分の量に依存して形成されるMnOx膜の膜厚が変動してしまい、成膜処理の再現性が劣ってしまうからである。
 そこで、薄膜形成工程S2を行うに先立って、ウエハWにアニール処理を施すことにより、絶縁層122中の水分を予め抜いてしまい、後工程で行う薄膜形成工程における膜厚の再現性を向上させるようにしている。この場合、上記のように、アニール処理時のプロセス温度を薄膜形成工程におけるプロセス温度よりも高く設定しておくのが好ましく、これによれば、薄膜形成工程では絶縁層122から水分が出ることを確実に防止することができる。例えば薄膜形成工程におけるプロセス温度が200℃の場合には、アニール工程におけるプロセス温度を上記温度よりも高い温度、例えば220℃に設定すればよい。尚、このアニール工程S1-1は、上記ステップS1、S2の間ではなく、ステップS1の親水化工程の直前に行うようにしてもよい。また、このアニール処理は図2に示す前処理装置で行うことができるのは勿論である。
 <第3実施例>
 次に本発明方法の第3実施例について説明する。この第3実施例では、図4(B)で示すように、先の第1実施例、或いは第2実施例に加えて、絶縁層122の表面に水(水蒸気)及び/又は酸素含有ガスを付着させる付着処理を行うようにしている。具体的には、図7に示すように、ここでは第2実施例のアニール工程S1-1と薄膜形成工程S2との間で上記付着処理を施す付着工程S1-2を行っている。
 この付着処理は、図1中の付着処理装置36で行うことができ、水(水蒸気)や酸素含有ガス、例えばOガスの雰囲気中にウエハWを曝すことにより水蒸気やOガスを絶縁層122の表面に付着させて-OH基やSi-O-Si結合を形成し、より親水性を増すことによって、後工程におけるMnOx膜の堆積量を増加させるようにしている。尚、この付着処理は、上記付着処理装置36を用いないで、一定の湿度を有する雰囲気中にウエハWを曝すことによって行うようにしてもよい。
 また、上記付着工程S1-2は、第1実施例においてアニール工程S1-1の直前、或いは親水化処理工程S1の直前に行うようにしてもよい。また、図7に示すフローチャートにおいて親水化工程S1とアニール工程S1-1の順序を逆にするようにしてもよい。またこの付着処理は、図2に示す前処理装置42で行うことができるのは勿論である。従って、図2に示す前処理装置42を設ければ、この装置内で親水化処理(プラズマ処理)、アニール処理及び付着処理を連続的に行うことができるのみならず、処理工程数を減少させたい場合には、親水化処理(プラズマ処理)に加えてアニール処理と付着処理の内のいずれか一方の処理を行うことができる。
 <本発明方法の評価>
 次に、本発明方法を実際に実施して絶縁層の表面にMnOx膜を形成したので、その評価結果について説明する。
 [Arプラズマ処理の場合]
 まず、ウエハWの表面にLow-k材料(比誘電率が4.1よりも小さい)の1つであるメチル基等を含んだSiOC膜よりなる絶縁層を形成し、この絶縁層を有するウエハに対して親水化処理として前述したようなプラズマ処理を施し、このウエハに前述したようなMnOx成膜処理を行った時のMnOx膜の堆積量について検討を行ったので、その評価結果について説明する。図9はプラズマ処理時間とXRF膜厚(MnOx換算)との関係を示すグラフである。尚、上記XRFとは蛍光X線分析装置である。ここではプラズマ処理としてArプラズマ処理を行った。またMnOx膜の成膜時間は600secである。
 図9に示すように、プラズマ処理を行っていない場合(処理時間=0sec)には、ほとんどMnOx膜が堆積していない。これに対して、プラズマ処理を例えば15sec程度行っただけで堆積するMnOx膜の膜厚は1nmに達して良好な結果を示しており、更に117sec程度以上行うと、堆積するMnOx膜の膜厚は2.3nm以上に達し、非常に優れていることが判った。
 [濡れ性]
 次に、上述したようなSiOC膜よりなる絶縁層の表面の濡れ性とOプラズマ処理による濡れ性の変化について検討を行った。比較のためにTEOSを用いて形成したSiO膜よりなる絶縁層についても濡れ性を評価した。図10はSiOC膜の表面の濡れ性の状態を示す図であり、図10(A)はTEOS膜(SiO膜)とSiOC膜の濡れ性の結果を示す図、図10(B)は濡れ性のOプラズマ処理時間の依存性を示す図である。
 ここでは、HO(水分)とCOH(エチルアルコール)について評価を行った。この図10(A)から明らかなように、TEOSのSiO膜は、HOとエチルアルコールの両方に対して濡れ性が有るのに対して、SiOC膜はエチルアルコールに対しては濡れ性は有るが、HOに対しては濡れ性が無い、或いは劣ることが判る。このように濡れ性に差が生ずるのは、TEOSのSiO膜の表面ではSi-O-Si結合やSi-OH結合が存在するのに対して、SiOC膜の表面ではSi-CH結合が存在するためであると予想される。
 そして、このSiOC膜に対してOプラズマ処理を0~30secの期間だけ行った場合、図10(B)に示すように、僅かに5secの期間だけOプラズマ処理を行っただけでHOに対する濡れ性が改善していることが判る。
 [MnOx膜のCu拡散バリヤ性の評価]
 次に、上述のように親水化処理としてOプラズマ処理を行った絶縁膜の表面にMnOx膜を実際に成膜した膜にCu膜を堆積し、更に加速負荷試験(アニール)を行った時のCu拡散バリヤ性について検討したのでその評価結果について説明する。図11は加速負荷試験をおこなった時のウエハのMnOx膜を中心とする断面を示す模式図、図12は図11中の特定部分の元素の分析結果を示すグラフであり、図12(A)は図11中のMnOx膜の境界部分であるA部(MnOx膜の成膜直後)の元素の分布を示すグラフ、図12(B)は図11中のMnOx膜の境界部分の僅かに下方のSiOC膜中のB部(加速負荷試験後)の元素の分布を示すグラフである。
 ここでは親水化処理としてOプラズマ処理を10sec間だけ施し、そして、薄膜形成処理としてMnOx膜を30min成膜した。この時のプロセス条件は、プロセス温度が200℃、プロセス圧力が133Pa、Hキャリアガスが25sccm、Mn原料ガス:(EtCp)Mnが7sccmである。そして、このMnOx膜上に、スパッタリングによりCu膜を堆積した。そして、このようなウエハに対して加速負荷試験として400℃内の雰囲気中に100時間放置してアニール処理を行った(圧力:5×10-5Pa以下)。この結果、MnOx膜の厚さは3.2nm程度であり、十分な厚さのMnOx膜が堆積した。
 また図12(A)に示すようにMnOx膜の境界付近にはMnやCuが存在することが判る。これに対して、図12(B)に示すようにSiOC膜中にはCuが存在せず、加速負荷試験を行ってもCu元素は拡散しておらず、MnOx膜が十分にバリヤ層としての機能を果たしていることが判る。
 尚、以上の各実施例では、比誘電率の低い絶縁層122としてSiOC膜を例にとって説明したが、これに限定されず、SiOC膜とSiO膜とSiOF膜とSiC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜を用いることができる。
 また上記MnOx膜を形成する有機金属材料の原料ガスは、(EtCp)Mnに限定されず、Cp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料を用いることができる。
 また上記MnOx膜はCVD法により形成されていたがこれに限定されず、PVD法を用いてMnOx(あるいはMnSixOy膜)を形成する場合においても本願発明を適用することができる。例えば親水性を有する絶縁膜上にMnを含んだCu膜を堆積すると共に200℃で熱処理を施すと、Cuと絶縁膜との界面にMnOxが形成された。一方、疎水性を有する絶縁膜上にMnを含んだCu膜を堆積すると共に200℃で熱処理を施すと、Cuと絶縁膜との界面にはMnOxが形成されなかった。これらの事実より、Cu層中を固溶・拡散したMn原子がCu層に隣接する絶縁膜のO原子と反応してその界面にMnOx薄膜を形成する条件は、絶縁膜表面が親水性となっていることであり、従って絶縁膜表面が疎水性を有する場合には、その表面に親水化処理を施すことが有効である。
 また、ここでは被処理体として半導体ウエハを例にとって説明したが、この半導体ウエハにはシリコン基板やGaAs、SiC、GaNなどの化合物半導体基板も含まれ、更にはこれらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。更に、上述した本発明の成膜方法によって形成された膜構造を有する半導体装置及びこの半導体装置を備える電子機器も本発明の適用範囲である。

Claims (21)

  1.  凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する成膜方法において、
     前記絶縁層の表面に親水化処理を施して親水性の表面にする親水化工程と、
     前記親水化処理の行われた前記絶縁層の表面にMn含有原料を用いて成膜処理を施すことによりMnを含む薄膜を形成する薄膜形成工程と、
    を有することを特徴とする成膜方法。
  2.  凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する成膜方法において、
     前記絶縁層の表面に親水化処理を施して親水性の表面にする親水化工程と、
     前記親水化処理の行われた前記絶縁層の表面にMn含有原料ガスを用いて成膜処理を施すことによりMnを含む薄膜を形成する薄膜形成工程と、
    を有することを特徴とする成膜方法。
  3.  前記親水化処理は、前記絶縁層に対してプラズマ処理を施すことを特徴とする請求項1又は2記載の成膜方法。
  4.  前記プラズマ処理では、酸素含有ガス及び/又は希ガスが用いられることを特徴とする請求項3記載の成膜方法。
  5.  前記親水化処理は、紫外線と酸素含有ガスとを用いて前記絶縁層の表面の改質を行う紫外線オゾン処理を施すことを特徴とする請求項1又は2記載の成膜方法。
  6.  前記親水化処理は、前記絶縁層の表面に対してガスクラスターイオンビームを照射するGCIB処理を施すことを特徴とする請求項1又は2記載の成膜方法。
  7.  前記親水化処理は、前記絶縁層の表面に波長が425nmの可視光を照射する可視光照射処理を施すことを特徴とする請求項1又は2記載の成膜方法。
  8.  前記薄膜形成工程の前には、前記絶縁層を前記薄膜形成工程におけるプロセス温度よりも高い温度でアニール処理して前記絶縁層中の水分を抜くアニール工程を行うようにしたことを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  9.  前記薄膜形成工程の前には、前記絶縁層の表面に水及び/又は酸素含有ガスを付着させる付着処理を施す付着工程を行うようにしたことを特徴とする請求項1乃至8のいずれか一項に記載の成膜方法。
  10.  前記low-k膜は、比誘電率が4.1よりも小さい誘電率を有する材料であることを特徴とする請求項1乃至9のいずれか一項に記載の成膜方法。
  11.  前記low-k膜は、SiOC膜とSiO膜とSiOF膜とSiC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜よりなることを特徴とする請求項1乃至10のいずれか一項に記載の成膜方法。
  12.  前記Mn含有原料は、Cp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料であることを特徴とする 請求項1乃至11のいずれか一項に記載の成膜方法。
  13.  凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する前に行われる前処理を実施する前処理装置において、排気が可能になされた処理容器と、前記処理容器内に設けられて前記被処理体を載置するための載置台構造と、前記被処理体を加熱する加熱手段と、前記処理容器内へガスを導入するガス導入手段と、前記ガス導入手段へ、希ガスと水蒸気と酸素含有ガスとを供給することができるガス供給手段と、前記絶縁層の表面を親水化する親水化手段と、装置全体を制御して前記被処理体にアニール処理と付着処理と親水化処理とを施すようにする装置制御部と、を備えたことを特徴とする前処理装置。
  14.  前記親水化手段は、プラズマ発生手段と紫外線照射手段とGCIB処理手段と波長が425nmの可視光照射手段の内のいずれか1つの手段よりなることを特徴とする請求項13記載の前処理装置。
  15.  凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する処理システムにおいて、
     内部に前記被処理体を搬送するための搬送機構を有する共通搬送室と、
     前記共通搬送室に連結されて前記被処理体に対して親水化処理を施す親水化処理装置と、
     前記共通搬送室に連結されて前記被処理体に対してMnを含む薄膜を形成する成膜処理を施す成膜処理装置と、
     処理システム全体の動作を制御するシステム制御部と、
    を備えたことを特徴とする処理システム。
  16.  前記親水化処理装置は、プラズマ処理装置と紫外線照射処理装置とガスクラスターイオンビーム照射処理装置と波長が425nmの可視光を照射する可視光照射処理装置の内のいずれか1つの処理装置であることを特徴とする請求項15記載の処理システム。
  17.  前記共通搬送室には、前記被処理体に対してアニール処理を施すアニール処理装置が連結されていることを特徴とする請求項15又は16記載の処理システム。
  18.  前記共通搬送室には、前記被処理体に対して水及び/又は酸素含有ガスを付着させる付着処理を施す付着処理装置が連結されていることを特徴とする請求項15乃至17のいずれか一項に記載の処理システム。
  19.  凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体にMnを含む薄膜を形成する処理システムにおいて、内部に前記被処理体を搬送するための搬送機構を有する共通搬送室と、前記共通搬送室に連結されて請求項13又は14に記載の前処理装置と、前記共通搬送室に連結されて前記被処理体に対してMnを含む薄膜を形成する成膜処理を施す成膜処理装置と、処理システム全体の動作を制御するシステム制御部と、を備えたことを特徴とする処理システム。
  20.  請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有することを特徴とする半導体装置。
  21.  請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有する半導体装置を備えることを特徴とする電子機器。
PCT/JP2010/060191 2009-06-16 2010-06-16 成膜方法、前処理装置及び処理システム WO2010147141A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2010800265642A CN102460653A (zh) 2009-06-16 2010-06-16 成膜方法、前处理装置和处理系统
KR1020117030618A KR101399814B1 (ko) 2009-06-16 2010-06-16 성막 방법, 전처리 장치 및 처리 시스템
US13/378,535 US8865590B2 (en) 2009-06-16 2010-06-16 Film forming method, pretreatment device, and processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-142963 2009-06-16
JP2009142963A JP5522979B2 (ja) 2009-06-16 2009-06-16 成膜方法及び処理システム

Publications (1)

Publication Number Publication Date
WO2010147141A1 true WO2010147141A1 (ja) 2010-12-23

Family

ID=43356457

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/060191 WO2010147141A1 (ja) 2009-06-16 2010-06-16 成膜方法、前処理装置及び処理システム

Country Status (6)

Country Link
US (1) US8865590B2 (ja)
JP (1) JP5522979B2 (ja)
KR (1) KR101399814B1 (ja)
CN (1) CN102460653A (ja)
TW (1) TW201118949A (ja)
WO (1) WO2010147141A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011114960A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2013055317A (ja) * 2011-08-05 2013-03-21 Tokyo Electron Ltd 半導体装置の製造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP6117588B2 (ja) * 2012-12-12 2017-04-19 東京エレクトロン株式会社 Cu配線の形成方法
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
DE102016109713A1 (de) * 2016-05-25 2017-11-30 Infineon Technologies Ag Verfahren zum Bilden eines Halbleiterbauelements und Halbleiterbauelement
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
JP6336022B1 (ja) * 2016-12-19 2018-06-06 株式会社荏原製作所 めっき装置、めっき方法、及びコンピュータ読み取り可能な記録媒体
JP6742268B2 (ja) * 2017-03-31 2020-08-19 富士フイルム株式会社 熱可塑性樹脂フィルムの製造方法、導電性フィルムの製造方法、熱可塑性樹脂フィルム、及び、導電性フィルム
JP7157596B2 (ja) * 2018-08-30 2022-10-20 株式会社Screenホールディングス ゲート絶縁膜の形成方法および熱処理方法
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
CN112864089A (zh) * 2019-11-27 2021-05-28 长鑫存储技术有限公司 半导体结构和互连结构的制备方法
EP4288999A1 (en) 2021-02-08 2023-12-13 MacDermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11297829A (ja) * 1998-04-15 1999-10-29 Nec Corp 半導体装置及びその製造方法
JP2002026121A (ja) * 2000-06-30 2002-01-25 Tokyo Electron Ltd 半導体装置およびその製造方法、絶縁膜の形成方法
JP2002118112A (ja) * 2000-10-05 2002-04-19 Hitachi Ltd 埋め込み配線構造を有する半導体装置の製法
JP2002370059A (ja) * 2001-03-13 2002-12-24 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2003309170A (ja) * 2002-02-14 2003-10-31 Nec Electronics Corp 半導体装置及びその製造方法
JP2003338540A (ja) * 2002-05-20 2003-11-28 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2004343087A (ja) * 2003-04-23 2004-12-02 Tokyo Electron Ltd 層間絶縁膜の表面改質方法及び表面改質装置
JP2005167081A (ja) * 2003-12-04 2005-06-23 Renesas Technology Corp 半導体装置およびその製造方法
JP2007273848A (ja) * 2006-03-31 2007-10-18 Toshiba Corp 半導体装置の製造方法
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008502150A (ja) * 2004-06-03 2008-01-24 エピオン コーポレーション 改善された二重ダマシン集積構造およびその製造方法
JP2008300568A (ja) * 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US20030155657A1 (en) 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US20070026642A1 (en) 2004-04-20 2007-02-01 Shingo Hishiya Surface modification method and surface modification apparatus for interlayer insulating film
CN101466864A (zh) * 2006-06-08 2009-06-24 东京毅力科创株式会社 成膜装置、成膜方法、计算机程序和存储介质
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11297829A (ja) * 1998-04-15 1999-10-29 Nec Corp 半導体装置及びその製造方法
JP2002026121A (ja) * 2000-06-30 2002-01-25 Tokyo Electron Ltd 半導体装置およびその製造方法、絶縁膜の形成方法
JP2002118112A (ja) * 2000-10-05 2002-04-19 Hitachi Ltd 埋め込み配線構造を有する半導体装置の製法
JP2002370059A (ja) * 2001-03-13 2002-12-24 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2003309170A (ja) * 2002-02-14 2003-10-31 Nec Electronics Corp 半導体装置及びその製造方法
JP2003338540A (ja) * 2002-05-20 2003-11-28 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2004343087A (ja) * 2003-04-23 2004-12-02 Tokyo Electron Ltd 層間絶縁膜の表面改質方法及び表面改質装置
JP2005167081A (ja) * 2003-12-04 2005-06-23 Renesas Technology Corp 半導体装置およびその製造方法
JP2008502150A (ja) * 2004-06-03 2008-01-24 エピオン コーポレーション 改善された二重ダマシン集積構造およびその製造方法
JP2007273848A (ja) * 2006-03-31 2007-10-18 Toshiba Corp 半導体装置の製造方法
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008300568A (ja) * 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011114960A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2013055317A (ja) * 2011-08-05 2013-03-21 Tokyo Electron Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20120025543A (ko) 2012-03-15
US8865590B2 (en) 2014-10-21
US20120135612A1 (en) 2012-05-31
KR101399814B1 (ko) 2014-05-27
JP5522979B2 (ja) 2014-06-18
TW201118949A (en) 2011-06-01
CN102460653A (zh) 2012-05-16
JP2011003569A (ja) 2011-01-06

Similar Documents

Publication Publication Date Title
JP5522979B2 (ja) 成膜方法及び処理システム
JP4503356B2 (ja) 基板処理方法および半導体装置の製造方法
US7791202B2 (en) Semiconductor device having oxidized metal film and manufacture method of the same
US8242015B2 (en) Film forming method and film forming apparatus
KR101139175B1 (ko) 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 및 기억 매체
US7803705B2 (en) Manufacturing method of semiconductor device and film deposition system
JP5674669B2 (ja) ルテニウム金属キャップ層を形成する方法
US9245847B2 (en) Method for manufacturing semiconductor device for forming metal element-containing layer on insulating layer in which concave portion is formed, semiconductor device including insulating layer in which concave portion is formed, and semiconductor layer on insulating layer in which concave portion is formed
WO2010147140A1 (ja) バリヤ層、成膜方法及び処理システム
KR100952685B1 (ko) 반도체 장치의 제조 방법, 반도체 제조 장치 및 기억 매체
JP4473824B2 (ja) 半導体装置の製造方法
US20160326646A1 (en) Method for forming manganese-containing film
KR20070011463A (ko) 전자 장치용 기판 및 그 처리 방법
KR20140020203A (ko) Cu 배선의 형성 방법 및 기억매체
Mori et al. Self‐Formation of a Ru/ZnO Multifunctional Bilayer for the Next‐Generation Interconnect Technology via Area‐Selective Atomic Layer Deposition

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080026564.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10789515

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117030618

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 13378535

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 10789515

Country of ref document: EP

Kind code of ref document: A1