WO2011046985A2 - Architecture for operating resonant clock network in conventional mode - Google Patents

Architecture for operating resonant clock network in conventional mode Download PDF

Info

Publication number
WO2011046985A2
WO2011046985A2 PCT/US2010/052402 US2010052402W WO2011046985A2 WO 2011046985 A2 WO2011046985 A2 WO 2011046985A2 US 2010052402 W US2010052402 W US 2010052402W WO 2011046985 A2 WO2011046985 A2 WO 2011046985A2
Authority
WO
WIPO (PCT)
Prior art keywords
clock
resonant
network
architecture
switch element
Prior art date
Application number
PCT/US2010/052402
Other languages
French (fr)
Other versions
WO2011046985A3 (en
Inventor
Marios C. Papaefthymiou
Alexander Ishii
Original Assignee
Cyclos Semiconductor, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cyclos Semiconductor, Inc. filed Critical Cyclos Semiconductor, Inc.
Priority to JP2012534306A priority Critical patent/JP2013507888A/en
Publication of WO2011046985A2 publication Critical patent/WO2011046985A2/en
Publication of WO2011046985A3 publication Critical patent/WO2011046985A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/06Clock generators producing several clock signals
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/01Shaping pulses
    • H03K5/04Shaping pulses by increasing duration; by decreasing duration
    • H03K5/05Shaping pulses by increasing duration; by decreasing duration by the use of clock signals or other time reference signals
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/156Arrangements in which a continuous pulse train is transformed into a train having a desired pattern
    • H03K5/1565Arrangements in which a continuous pulse train is transformed into a train having a desired pattern the output pulses having a constant duty cycle

Definitions

  • Patent Application No. entitled RESONANT CLOCK DISTRIBUTION
  • This disclosure relates generally to clock distribution network architectures for digital devices with multiple clock networks and various clock frequencies such as microprocessors, application-specific integrated circuits (ASICs), and System-on-a-Chip (SOC) devices.
  • ASICs application-specific integrated circuits
  • SOC System-on-a-Chip
  • Resonant clock distribution networks have been proposed for the energy- efficient distribution of clock signals in synchronous digital systems.
  • energy-efficient operation is achieved using one or more inductors to resonate the parasitic capacitance of the clock distribution network.
  • Clock distribution with extremely low jitter is achieved through the reduction in the number of clock buffers.
  • extremely low skew is achieved among the distributed clock signals through the design of relatively symmetric all-metal distribution networks.
  • Overall network performance depends on operating speed and total network inductance, resistance, size, and topology, with lower- resistance symmetric networks resulting in lower jitter, skew, and energy consumption when designed with adequate inductance.
  • digital devices are often specified and designed to operate at multiple clock frequencies.
  • a high-performance microprocessor may be designed to operate at multiple clock frequencies ranging from 100MHz to 3 GHz.
  • the technique of operating a clock signal at different clock frequencies over time is commonly referred to as frequency scaling and is motivated by the need to reduce power consumption in semiconductor devices.
  • Power consumption in digital semiconductor devices grows in proportion with the rate at which these devices switch between their digital values. When performance requirements decrease, this rate can be reduced by reducing the frequency of the clock signal, thereby reducing power consumption.
  • clock signals at more than a single frequency also arises in the context of device binning, that is, the practice of selling at a premium a device that, due to manufacturing variations, is capable of operating at a higher peak clock frequency than another device of identical design and functionality.
  • a batch of microprocessors that was fabricated on a "fast" semiconductor manufacturing corner may contain microprocessors capable of running at clock frequencies of up to 3 GHz
  • an identical-in-design batch of microprocessors that was fabricated on a "typical" semiconductor manufacturing corner may contain microprocessors that can run at clock frequencies of at most 2GHz.
  • the microprocessors in the first "fast" batch can be sold at significantly higher prices, due to their better achieved performance.
  • resonant clock distribution networks typically achieve their highest energy efficiency for a relatively narrow range of clock frequencies centered around the natural frequency of the resonant network. For clock frequencies outside this narrow range, energy efficiency degrades significantly, and to an extent that outweighs the inherent energy advantages of resonant clocking. For example, consider a microprocessor that has been designed with a target frequency of 3 GHz, but its digital logic can only achieve a peak clock rate of 2GHz after manufacturing. In a non-resonant clock implementation of the microprocessor, the clock network can be operated at 2GHz, consuming power in proportion to its 2GHz operating frequency.
  • a resonant clock design if the resonant clock network operates at 2GHz, instead of its natural frequency of 3 GHz, its power consumption may significantly exceed the power consumption of the non-resonant design at 2GHz.
  • At-speed testing presents yet another challenge related with the use of resonant clock distribution networks in digital devices.
  • a specific bit pattern is first loaded onto specified scan registers (scan-in mode) using a clock frequency that is significantly slower (for example, 5 times or more) than the target clock frequency that operation is to be tested at.
  • the digital system is then operated for one or more clock cycles at the target clock frequency (at-speed-test mode), and to validate correct function, the contents of the scan registers are then read (scan-out mode) using a clock frequency that is once again significantly slower than the target clock frequency.
  • Resonant clock distribution networks typically require multiple clock cycles of operation before they are able to provided their specified clock amplitude.
  • An approach that can be used to address the above challenges is to essentially disable the inductive elements of the resonant clock network, and thereby allow the clock drivers to swing the normally resonant clock distribution network in a conventional (i.e., non-resonant) mode.
  • the energy efficiency of the resonant clock network and the characteristics of the clock waveform depend on the way that the inductive elements are disabled. Consequently, in a resonant clock distribution network that allows for the disabling of its inductive elements, to ensure that energy efficiency remains high and that the clock waveform meets its target specification, certain resonant clock network architectures are preferable.
  • An architecture for resonant clock distribution networks is proposed.
  • the proposed architecture allows for the energy-efficient operation of the resonant clock distribution network in conventional mode, so that it meets target specifications for the clock waveform.
  • Such an architecture is generally applicable to semiconductor devices with multiple clock frequencies, and high-performance and low-power clocking requirements such as microprocessors, ASICs, and SOCs. Moreover, it is applicable to at- speed testing and to binning of semiconductor devices according to achievable performance levels.
  • a clock driver comprising: a resonance element electrically coupled to a clock node of the clock driver, the resonance element configured to enable the clock driver to operate in a resonant mode; a drive element electrically coupled to the clock node, the drive element configured to receive and propagate a reference clock of the clock distribution network based on a logical input signal; a mid-point supply node configured to provide a power supply to the resonance element; a first switch element, wherein a first end of the first switch element is electrically coupled to the mid-point supply node and a second end of the first switch element is electrically coupled to a first end of the resonance element; a second switch element connected in parallel to the resonance element, wherein a first end of the second switch element is electrically coupled to the first end of the resonance element and a second end of the second switch element is electrically coupled to a second end of the resonance element; wherein, the clock driver is configured to selectively operate either in a resonant mode or a non-reson
  • Fig. 1 shows a typical architecture of a resonant clock distribution network.
  • Fig. 2 shows a typical driver design for resonant clocking with the clock load modeled as a lumped capacitor.
  • Fig. 3 shows an approach to the design of a resonant driver that supports both resonant and conventional operation.
  • Fig. 4 shows an alternative approach to the design of a resonant clock driver that supports both resonant and conventional operation.
  • Fig. 5 shows an embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
  • Fig. 6 shows an alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
  • Fig. 7 shows another alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
  • Fig. 8 shows another alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
  • Figure 1 shows a typical resonant clock distribution network architecture for a semiconductor device.
  • a buffered distribution network is used to distribute a reference clock signal to multiple resonant clock drivers that are in rum used to drive the clock signal across an all-metal clock distribution network.
  • this all-metal network has an approximately symmetric topology, delivering the clock signal to the clocked elements (for example, flip-flops and clock gaters) of the semiconductor device with very low skew.
  • Each resonant clock driver incorporates an inductor that is used to provide additional drive strength with low energy consumption by resonating the parasitic capacitance of the load seen by the driver.
  • FIG. 2 shows a typical resonant clock driver design, in which the part of the overall clock distribution network serviced by the resonant clock driver, is modeled as a lumped capacitor C in series with a lumped resistance R.
  • This driver comprises a pull-up PMOS and a pull-down NMOS device for driving the clock.
  • the PMOS device is connected between the clock node and the power supply terminal.
  • the NMOS device is connected between the clock node and the ground terminal. Both devices are driven by the reference clock signal.
  • An inductor L is connected between the clock node and a supply node with voltage at approximately the mid-point of the clock signal oscillation.
  • the mid-point supply voltage is approximately 0.5 V.
  • the mid-point is implemented using two capacitors Cdd and Css.
  • Capacitor Cdd is connected between the mid-point and the power supply terminal.
  • Capacitor Css is connected between the mid-point and the ground terminal.
  • the value of the inductor is approximately chosen so that the LC tank set-up by the inductor and the parasitic capacitance of the clock distribution network has a natural frequency that is approximately equal to the frequency of the reference clock signal.
  • the energy efficiency of the resonant clock driver depends on various design and operating parameters.
  • the quality factor Q of the resonant system is an indicator of its energy efficiency. This factor is proportional to (L / C) l/2 / R.
  • energy efficiency decreases as R increases, due to the I 2 R losses associated with the flow of the current / that charges and discharges the parasitic clock load C through the resistance R.
  • energy efficiency decreases as capacitance C increases, since the current flowing through resistance R increases.
  • the mismatch between the natural frequency of the resonant LC-tank systems and the frequency of the reference clock signal is another important factor that affects the energy efficiency of the resonant clock network.
  • energy efficiency decreases.
  • the mismatch between the two frequencies becomes too large, the energy consumption of the resonant clock driver becomes excessive and impractically high.
  • the shape of the clock waveform is so distorted that it cannot be reliably used to clock flip-flops or other clocked storage elements. Consequently, resonant clock drivers tend to have a narrower range of clock frequencies within which they operate efficiently than the range of clock frequencies typically supported by a semiconductor device that uses frequency scaling.
  • resonant clock drivers are designed so that the resonant clock network can also be operated in conventional mode.
  • Figure 3 shows a possible resonant clock driver design which supports operation in resonant and conventional mode.
  • This design is an adaptation of the resonant clock driver in Figure 2, which has been extended to include a switch S between the clock node and the inductor terminal that is connected to the clock node.
  • the switch S has been implemented as a transmission gate with a PMOS and an NMOS device.
  • Switch S can be used to disable the inductor by selectively decoupling it from the clock node, thus providing the option of driving the clock network in conventional (i.e., non-resonant mode).
  • the control signal ENS turns the switch on
  • the inductor is part of the resonant clock network, and the resonant clock driver operates the resonant clock network in resonant mode.
  • the control signal ENS turns the switch off
  • the inductor is decoupled from the resonant clock network, and the resonant clock driver operates the resonant clock network in conventional mode.
  • the architecture of the resonant clock driver shown in Figure 3 has certain advantages and disadvantages.
  • the main advantage is that it completely decouples the inductor from the resonant clock network. Therefore, when the network is operating, the inductor does not affect the characteristics of the clock waveform in any significant way.
  • the main disadvantage of this architecture is that when the resonant clock network is operating in resonant mode, the parasitic capacitance of switch S is part of the clock load that is driven by the clock driver. It therefore exhibits an increased total clock capacitance on the clock node, compared to a conventional clock network design, thus limiting the relative energy savings potential of resonant clock distribution.
  • FIG 4 shows an alternative resonant clock driver design which supports operation in resonant and conventional mode, and overcomes some of the disadvantages of the resonant clock driver design shown in Figure 3.
  • switch S has been connected between the mid-point supply node and the inductor terminal that is originally connected to that node.
  • switch S has been implemented as a transmission gate with a PMOS and an NMOS device. Switch S can be used to disable the inductor by selectively decoupling it from the mid-point supply node, thus providing the option of driving the clock network in conventional mode.
  • the inductor When the control signal ENS turns the switch on, the inductor is part of the resonant clock network, and the resonant clock driver operates the resonant clock network in resonant mode.
  • the control signal ENS turns the switch off, the inductor is decoupled from the mid-point supply node, and the resonant clock driver operates the resonant clock network in conventional mode.
  • the capacitance of switch S when the resonant clock network is operating in resonant mode, the capacitance of switch S is combined with the capacitors Cdd and Css that yield the midpoint supply voltage. Therefore, this capacitance is not part of the clock node, and the relative energy savings potential of the resonant clock distribution network is not limited as with the driver design shown in Figure 3.
  • a main disadvantage of the resonant clock driver design in Figure 4 is that when the resonant clock network operates in conventional mode, one inductor terminal is still connected to the clock node, whereas the other inductor terminal is connected to one of the terminals of switch S, which generally presents a tangible, but relatively small, capacitance compared to the capacitance of the clock node. With the clock node switching at a high rate, the flow of current through the inductor to and from the switch S terminal capacitance generates high frequency components that distort the shape of the clock waveform on the main clock node.
  • FIG. 5 shows an embodiment of the proposed architecture for supporting the energy efficient operation of a resonant clock network in conventional mode.
  • the resonant clock driver design from Figure 4 has been augmented to include an additional switch B that is placed in parallel to the inductive element of the resonant clock driver.
  • switch B When the resonant clock network is operating in resonant mode, switch B is turned off using the control signal ENB. In this case, the switch does not conduct any current, and the inductor is connected to the resonant clock network.
  • switch B When the resonant clock network is operating in conventional mode, switch B is turned on, and the inductor is bypassed. Current build-up in the inductor is thus limited, reducing distortion in the shape of the clock waveform, and reducing momentary currents through switch S.
  • FIG. 6 An alternative embodiment of the proposed architecture is shown in Figure 6. This embodiment is an extension of the embodiment shown in Figure 5, in which the resonant clock driver includes multiple pairs of NMOS / PMOS devices to drive the clock node, that can be selectively enabled using control signals EN1, ENn.
  • FIG. 7 Another alternative embodiment of the proposed architecture is shown in Figure 7. This embodiment is an extension of the embodiment shown in Figure 6, in which switch S has been relocated. Specifically, the PMOS device of the switch has been placed between the mid-point node, and the non-VDD terminal of the capacitor Cdd, , and the NMOS device has been placed between the mid-point node and the non-VSS terminal of the capacitor Css.
  • Figure 8 shows another alternative embodiment of the proposed architecture.
  • This embodiment is an extension of the one shown in Figure 6, in which the pull-down devices can be driven by a separate reference clock signal from the pull-up devices.
  • This embodiment allows for the pull-up devices to be driven using a reference clock with a different duty cycle from the pull-down devices.
  • the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense (i.e., to say, in the sense of “including, but not limited to”), as opposed to an exclusive or exhaustive sense.
  • the terms “connected,” “coupled,” or any variant thereof means any connection or coupling, either direct or indirect, between two or more elements. Such a coupling or connection between the elements can be physical, logical, or a combination thereof.
  • the words “herein,” “above,” “below,” and words of similar import when used in this application, refer to this application as a whole and not to any particular portions of this application.

Abstract

An architecture for resonant clock distribution networks is proposed. The proposed architecture allows for the energy-efficient operation of the resonant clock distribution network in conventional mode, so that it meets target specifications for the clock waveform. Such an architecture is generally applicable to semiconductor devices with multiple clock frequencies, and high-performance and low-power clocking requirements such as microprocessors, ASICs, and SOCs. Moreover, it is applicable to at-speed testing and to binning of semiconductor devices according to achievable performance levels.

Description

ARCHITECTURE FOR OPERATING RESONANT CLOCK NETWORK IN
CONVENTIONAL MODE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This patent application is a conversion of and claims priority to U.S. Provisional Patent Application No. 61 /250,830, entitled SYSTEMS AND METHODS FOR RESONANT CLOCKING INTEGRATED CIRCUITS, filed October 12, 2009, which is incorporated herein in its entirety. This patent application is related to the technologies described in the following patents and applications, all of which are incorporated herein in their entireties:
U.S. Patent Application No. 12/125,009, entitled RESONANT CLOCK AND INTERCONNECT ARCHITECTURE FOR DIGITAL DEVICES WITH MULTIPLE CLOCK NETWORKS, filed October 12, 2009, which claims priority to U.S. Provisional Patent Application No. 60/931 ,582, entitled RESONANT CLOCK AND INTERCONNECT ARCHITECTURE FOR PROGRAMMABLE LOGIC DEVICES, filed May 23, 2007;
U.S. Patent Application No. , entitled RESONANT CLOCK DISTRIBUTION
NETWORK ARCHITECTURE WITH PROGRAMMABLE DRIVERS, filed concurrently herewith;
U.S. Patent Application No. , entitled ARCHITECTURE FOR CONTROLLING CLOCK CHARACTERISTICS, filed concurrently herewith;
U.S. Patent Application No. , entitled METHOD FOR SELECTING NATURAL
FREQUENCY IN RESONANT CLOCK DISTRIBUTION NETWORKS WITH NO INDUCTOR OVERHEAD, filed concurrently herewith;
U.S. Patent Application No. , entitled ARCHITECTURE FOR ADJUSTING NATURAL FREQUENCY IN RESONANT CLOCK DISTRIBUTION NETWORKS, filed concurrently herewith; Patent Application No. , entitled ARCHITECTURE FOR FREQUENCY-
SCALED OPERATION IN RESONANT CLOCK DISTRIBUTION NETWORKS, filed concurrently herewith;
Patent Application No. entitled ARCHITECTURE FOR SINGLE-
STEPPING IN RESONANT CLOCK DISTRIBUTION NETWORKS, filed concurrently herewith; and
Patent Application No. , entitled RESONANT CLOCK DISTRIBUTION
NETWORK ARCHITECTURE FOR TRACKING PARAMETER VARIATIONS IN CONVENTIONAL CLOCK DISTRIBUTION NETWORKS filed concurrently herewith. FIELD OF INVENTION
[0002] This disclosure relates generally to clock distribution network architectures for digital devices with multiple clock networks and various clock frequencies such as microprocessors, application-specific integrated circuits (ASICs), and System-on-a-Chip (SOC) devices.
BACKGROUND OF THE INVENTION
[0003] Resonant clock distribution networks have been proposed for the energy- efficient distribution of clock signals in synchronous digital systems. In these networks, energy-efficient operation is achieved using one or more inductors to resonate the parasitic capacitance of the clock distribution network. Clock distribution with extremely low jitter is achieved through the reduction in the number of clock buffers. Moreover, extremely low skew is achieved among the distributed clock signals through the design of relatively symmetric all-metal distribution networks. Overall network performance depends on operating speed and total network inductance, resistance, size, and topology, with lower- resistance symmetric networks resulting in lower jitter, skew, and energy consumption when designed with adequate inductance.
[0004] In practice, digital devices are often specified and designed to operate at multiple clock frequencies. For example, a high-performance microprocessor may be designed to operate at multiple clock frequencies ranging from 100MHz to 3 GHz. The technique of operating a clock signal at different clock frequencies over time is commonly referred to as frequency scaling and is motivated by the need to reduce power consumption in semiconductor devices. Power consumption in digital semiconductor devices grows in proportion with the rate at which these devices switch between their digital values. When performance requirements decrease, this rate can be reduced by reducing the frequency of the clock signal, thereby reducing power consumption.
[0005] The operation of clock signals at more than a single frequency also arises in the context of device binning, that is, the practice of selling at a premium a device that, due to manufacturing variations, is capable of operating at a higher peak clock frequency than another device of identical design and functionality. For example, a batch of microprocessors that was fabricated on a "fast" semiconductor manufacturing corner may contain microprocessors capable of running at clock frequencies of up to 3 GHz, while an identical-in-design batch of microprocessors that was fabricated on a "typical" semiconductor manufacturing corner may contain microprocessors that can run at clock frequencies of at most 2GHz. While of identical design, the microprocessors in the first "fast" batch can be sold at significantly higher prices, due to their better achieved performance.
[0006] The challenge with the deployment of resonant clock distribution networks in multi-frequency operation contexts is that these networks typically achieve their highest energy efficiency for a relatively narrow range of clock frequencies centered around the natural frequency of the resonant network. For clock frequencies outside this narrow range, energy efficiency degrades significantly, and to an extent that outweighs the inherent energy advantages of resonant clocking. For example, consider a microprocessor that has been designed with a target frequency of 3 GHz, but its digital logic can only achieve a peak clock rate of 2GHz after manufacturing. In a non-resonant clock implementation of the microprocessor, the clock network can be operated at 2GHz, consuming power in proportion to its 2GHz operating frequency. In a resonant clock design, however, if the resonant clock network operates at 2GHz, instead of its natural frequency of 3 GHz, its power consumption may significantly exceed the power consumption of the non-resonant design at 2GHz. As a general principle, it is desirable that the design with the resonant clock network does not consume more power than its counterpart with a conventional clock network under all operating frequencies.
[0007] In addition to excessive power consumption, when a resonant clock network operates away from its natural frequency, the shape of the clock waveform is increasingly deformed, as the mismatch between the natural and the operating frequency increases. In extreme situations, the peak clock frequency after manufacturing may be so far from the natural frequency of the resonant clock network that the clock waveform at the peak clock frequency becomes deformed to such an extent that incorrect operation of the clocked elements results, and the function of the overall device becomes faulty.
[0008] At-speed testing presents yet another challenge related with the use of resonant clock distribution networks in digital devices. In this kind of testing, a specific bit pattern is first loaded onto specified scan registers (scan-in mode) using a clock frequency that is significantly slower (for example, 5 times or more) than the target clock frequency that operation is to be tested at. The digital system is then operated for one or more clock cycles at the target clock frequency (at-speed-test mode), and to validate correct function, the contents of the scan registers are then read (scan-out mode) using a clock frequency that is once again significantly slower than the target clock frequency. Resonant clock distribution networks typically require multiple clock cycles of operation before they are able to provided their specified clock amplitude. Therefore, switching from scan-in mode to at-speed-test mode (or from at-speed-test mode to scan-out mode) is a challenge, due to the requirement for full-amplitude clock signals right from the beginning of the at-speed- test mode, and due to the difference in the clock frequencies between the scan modes and the at-speed-test mode. Furthermore, the great difference in clock frequency between scan modes and at-speed-test mode implies a significant difference in the rise and/or fall time of the clock waveform, and typically it is critical that the rise and/or fall times during at-speed testing match that of the resonant clock waveform at the same frequency when the network is operating in resonant mode.
[0009] An approach that can be used to address the above challenges is to essentially disable the inductive elements of the resonant clock network, and thereby allow the clock drivers to swing the normally resonant clock distribution network in a conventional (i.e., non-resonant) mode. The energy efficiency of the resonant clock network and the characteristics of the clock waveform depend on the way that the inductive elements are disabled. Consequently, in a resonant clock distribution network that allows for the disabling of its inductive elements, to ensure that energy efficiency remains high and that the clock waveform meets its target specification, certain resonant clock network architectures are preferable.
[0010] Architectures for resonant clock distribution networks have been described and empirically evaluated in several articles, including "A 225MHz Resonant Clocked ASIC Chip," by Ziesler C, et al., International Symposium on Low-Power Electronic Design, August 2003; "Energy Recovery Clocking Scheme and Flip-Flops for_Ultra Low- Energy Applications," by Cooke, M., et al., International Symposium on Low-Power Electronic Design, August 2003; and "Resonant Clocking Using Distributed Parasitic Capacitance," by Drake, A., et al., Journal of Solid-State Circuits, Vol. 39, No. 9, September 2004; "900MHz to 1.2GHz two-phase resonant clock network with programmable driver and loading," by Chueh J.-Y., et al., IEEE 2006 Custom Integrated Circuits Conference, September 2006; "A 0.8-1.2GHz frequency tunable single-phase resonant-clocked FIR filter," by Sathe V., et al., IEEE 2007 Custom Integrated Circuits Conference, September 2007. However, the resonant clock networks described in all these articles always operate in resonant mode. Moreover, the resonant clock distribution networks described in these articles do not describe any approaches for disabling their inductive elements
[0011] A resonant clock distribution network design that can also operate in conventional mode is mentioned in the article "A Resonant Global Clock Distribution for the Cell Broadband Engine Processor," by Chan S., et al., IEEE Journal of Solid State Circuits, Vol. 44, No. 1, January 2009. However, the article does not provide any specifics of such a clock network design, such as circuit topologies and any design and optimization issues associated with them, and therefore is purely conceptual with respect to the use of conventional mode operation. [0012] Overall, the examples herein of some prior or related systems and their associated limitations are intended to be illustrative and not exclusive. Other limitations of existing or prior systems will become apparent to those of skill in the art upon reading the following Detailed Description.
SUMMARY OF THE DESCRIPTION
[0013] An architecture for resonant clock distribution networks is proposed. The proposed architecture allows for the energy-efficient operation of the resonant clock distribution network in conventional mode, so that it meets target specifications for the clock waveform. Such an architecture is generally applicable to semiconductor devices with multiple clock frequencies, and high-performance and low-power clocking requirements such as microprocessors, ASICs, and SOCs. Moreover, it is applicable to at- speed testing and to binning of semiconductor devices according to achievable performance levels. [0014] Disclosed is a clock driver comprising: a resonance element electrically coupled to a clock node of the clock driver, the resonance element configured to enable the clock driver to operate in a resonant mode; a drive element electrically coupled to the clock node, the drive element configured to receive and propagate a reference clock of the clock distribution network based on a logical input signal; a mid-point supply node configured to provide a power supply to the resonance element; a first switch element, wherein a first end of the first switch element is electrically coupled to the mid-point supply node and a second end of the first switch element is electrically coupled to a first end of the resonance element; a second switch element connected in parallel to the resonance element, wherein a first end of the second switch element is electrically coupled to the first end of the resonance element and a second end of the second switch element is electrically coupled to a second end of the resonance element; wherein, the clock driver is configured to selectively operate either in a resonant mode or a non-resonant mode based on an operating state of the first switch element and the second switch element, wherein: when the first switch element is switched on and the second switch element is switched off, the clock driver operates in a resonant mode; and when the second switch element is switched on, the resonance element is bypassed and the clock driver operates in a non-resonant mode.
[0015] This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter. Other advantages and features will become apparent from the following description and claims. It should be understood that the description and specific examples are intended for purposes of illustration only and not intended to limit the scope of the present disclosure.
BRIEF DESCRIPTION OF DRAWINGS
[0016] These and other objects, features and characteristics of the present invention will become more apparent to those skilled in the art from a study of the following detailed description in conjunction with the appended claims and drawings, all of which form a part of this specification. In the drawings:
Fig. 1 shows a typical architecture of a resonant clock distribution network.
Fig. 2 shows a typical driver design for resonant clocking with the clock load modeled as a lumped capacitor.
Fig. 3 shows an approach to the design of a resonant driver that supports both resonant and conventional operation.
Fig. 4 shows an alternative approach to the design of a resonant clock driver that supports both resonant and conventional operation.
Fig. 5 shows an embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation. Fig. 6 shows an alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
Fig. 7 shows another alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation. Fig. 8 shows another alternative embodiment of the proposed approach for the design of a resonant clock driver that supports both resonant and conventional operation.
[0017] The headings provided herein are for convenience only and do not necessarily affect the scope or meaning of the claimed invention. [0018] In the drawings, the same reference numbers and any acronyms identify elements or acts with the same or similar structure or functionality for ease of understanding and convenience.
DETAILED DESCRIPTION OF THE INVENTION
[0019] Various examples of the invention will now be described. The following description provides specific details for a thorough understanding and enabling description of these examples. One skilled in the relevant art will understand, however, that the invention may be practiced without many of these details. Likewise, one skilled in the relevant art will also understand that the invention can include many other obvious features not described in detail herein. Additionally, some well-known structures or functions may not be shown or described in detail below, so as to avoid unnecessarily obscuring the relevant description. [0020] The terminology used below is to be interpreted in its broadest reasonable manner, even though it is being used in conjunction with a detailed description of certain specific examples of the invention. Indeed, certain terms may even be emphasized below; however, any terminology intended to be interpreted in any restricted manner will be overtly and specifically defined as such in this Detailed Description section. [0021] Figure 1 shows a typical resonant clock distribution network architecture for a semiconductor device. In this network, a buffered distribution network is used to distribute a reference clock signal to multiple resonant clock drivers that are in rum used to drive the clock signal across an all-metal clock distribution network. Typically, this all-metal network has an approximately symmetric topology, delivering the clock signal to the clocked elements (for example, flip-flops and clock gaters) of the semiconductor device with very low skew. Each resonant clock driver incorporates an inductor that is used to provide additional drive strength with low energy consumption by resonating the parasitic capacitance of the load seen by the driver.
[0022] Figure 2 shows a typical resonant clock driver design, in which the part of the overall clock distribution network serviced by the resonant clock driver, is modeled as a lumped capacitor C in series with a lumped resistance R. This driver comprises a pull-up PMOS and a pull-down NMOS device for driving the clock. The PMOS device is connected between the clock node and the power supply terminal. The NMOS device is connected between the clock node and the ground terminal. Both devices are driven by the reference clock signal. An inductor L is connected between the clock node and a supply node with voltage at approximately the mid-point of the clock signal oscillation. For example, if the clock signal oscillates between 0V and IV, the mid-point supply voltage is approximately 0.5 V. In the driver of this figure, the mid-point is implemented using two capacitors Cdd and Css. Capacitor Cdd is connected between the mid-point and the power supply terminal. Capacitor Css is connected between the mid-point and the ground terminal. To maximize energy savings, the value of the inductor is approximately chosen so that the LC tank set-up by the inductor and the parasitic capacitance of the clock distribution network has a natural frequency that is approximately equal to the frequency of the reference clock signal.
[0023] The energy efficiency of the resonant clock driver depends on various design and operating parameters. The quality factor Q of the resonant system is an indicator of its energy efficiency. This factor is proportional to (L / C)l/2 / R. In general, energy efficiency decreases as R increases, due to the I2R losses associated with the flow of the current / that charges and discharges the parasitic clock load C through the resistance R. Also, for a fixed natural frequency, energy efficiency decreases as capacitance C increases, since the current flowing through resistance R increases.
[0024] The mismatch between the natural frequency of the resonant LC-tank systems and the frequency of the reference clock signal is another important factor that affects the energy efficiency of the resonant clock network. As the frequency of the reference clock that drives the resonant clock driver moves further away from the natural frequency of the resonant clock driver's LC-tank, energy efficiency decreases. When the mismatch between the two frequencies becomes too large, the energy consumption of the resonant clock driver becomes excessive and impractically high. Moreover, the shape of the clock waveform is so distorted that it cannot be reliably used to clock flip-flops or other clocked storage elements. Consequently, resonant clock drivers tend to have a narrower range of clock frequencies within which they operate efficiently than the range of clock frequencies typically supported by a semiconductor device that uses frequency scaling.
[0025] To support the broad range of operating frequencies used in a frequency-scaled semiconductor device, and to provide support for at-speed testing, resonant clock drivers are designed so that the resonant clock network can also be operated in conventional mode. Figure 3 shows a possible resonant clock driver design which supports operation in resonant and conventional mode. This design is an adaptation of the resonant clock driver in Figure 2, which has been extended to include a switch S between the clock node and the inductor terminal that is connected to the clock node. In this design, the switch S has been implemented as a transmission gate with a PMOS and an NMOS device. Switch S can be used to disable the inductor by selectively decoupling it from the clock node, thus providing the option of driving the clock network in conventional (i.e., non-resonant mode). When the control signal ENS turns the switch on, the inductor is part of the resonant clock network, and the resonant clock driver operates the resonant clock network in resonant mode. When the control signal ENS turns the switch off, the inductor is decoupled from the resonant clock network, and the resonant clock driver operates the resonant clock network in conventional mode.
[0026] The architecture of the resonant clock driver shown in Figure 3 has certain advantages and disadvantages. The main advantage is that it completely decouples the inductor from the resonant clock network. Therefore, when the network is operating, the inductor does not affect the characteristics of the clock waveform in any significant way. However, the main disadvantage of this architecture is that when the resonant clock network is operating in resonant mode, the parasitic capacitance of switch S is part of the clock load that is driven by the clock driver. It therefore exhibits an increased total clock capacitance on the clock node, compared to a conventional clock network design, thus limiting the relative energy savings potential of resonant clock distribution.
[0027] Figure 4 shows an alternative resonant clock driver design which supports operation in resonant and conventional mode, and overcomes some of the disadvantages of the resonant clock driver design shown in Figure 3. In this driver design, switch S has been connected between the mid-point supply node and the inductor terminal that is originally connected to that node. In this design, switch S has been implemented as a transmission gate with a PMOS and an NMOS device. Switch S can be used to disable the inductor by selectively decoupling it from the mid-point supply node, thus providing the option of driving the clock network in conventional mode. When the control signal ENS turns the switch on, the inductor is part of the resonant clock network, and the resonant clock driver operates the resonant clock network in resonant mode. When the control signal ENS turns the switch off, the inductor is decoupled from the mid-point supply node, and the resonant clock driver operates the resonant clock network in conventional mode. In this design, when the resonant clock network is operating in resonant mode, the capacitance of switch S is combined with the capacitors Cdd and Css that yield the midpoint supply voltage. Therefore, this capacitance is not part of the clock node, and the relative energy savings potential of the resonant clock distribution network is not limited as with the driver design shown in Figure 3.
[0028] A main disadvantage of the resonant clock driver design in Figure 4 is that when the resonant clock network operates in conventional mode, one inductor terminal is still connected to the clock node, whereas the other inductor terminal is connected to one of the terminals of switch S, which generally presents a tangible, but relatively small, capacitance compared to the capacitance of the clock node. With the clock node switching at a high rate, the flow of current through the inductor to and from the switch S terminal capacitance generates high frequency components that distort the shape of the clock waveform on the main clock node. Moreover, the same flow of current through the inductor to and from the switch S terminal capacitance can drive the voltage of the switch S terminal capacitance to values that will momentarily allow the conduction of current through the NMOS and PMOS devices that are used to implement the switch S. To offset this conduction of current, the NMOS and PMOS devices driving the clock node must provide additional current to the clock node, resulting in increased energy consumption. [0029] Figure 5 shows an embodiment of the proposed architecture for supporting the energy efficient operation of a resonant clock network in conventional mode. In this embodiment, the resonant clock driver design from Figure 4 has been augmented to include an additional switch B that is placed in parallel to the inductive element of the resonant clock driver. When the resonant clock network is operating in resonant mode, switch B is turned off using the control signal ENB. In this case, the switch does not conduct any current, and the inductor is connected to the resonant clock network. When the resonant clock network is operating in conventional mode, switch B is turned on, and the inductor is bypassed. Current build-up in the inductor is thus limited, reducing distortion in the shape of the clock waveform, and reducing momentary currents through switch S.
[0030] An alternative embodiment of the proposed architecture is shown in Figure 6. This embodiment is an extension of the embodiment shown in Figure 5, in which the resonant clock driver includes multiple pairs of NMOS / PMOS devices to drive the clock node, that can be selectively enabled using control signals EN1, ENn.
[0031] Another alternative embodiment of the proposed architecture is shown in Figure 7. This embodiment is an extension of the embodiment shown in Figure 6, in which switch S has been relocated. Specifically, the PMOS device of the switch has been placed between the mid-point node, and the non-VDD terminal of the capacitor Cdd, , and the NMOS device has been placed between the mid-point node and the non-VSS terminal of the capacitor Css.
[0032] Figure 8 shows another alternative embodiment of the proposed architecture. This embodiment is an extension of the one shown in Figure 6, in which the pull-down devices can be driven by a separate reference clock signal from the pull-up devices. This embodiment allows for the pull-up devices to be driven using a reference clock with a different duty cycle from the pull-down devices.
[0033] Unless the context clearly requires otherwise, throughout the description and the claims, the words "comprise," "comprising," and the like are to be construed in an inclusive sense (i.e., to say, in the sense of "including, but not limited to"), as opposed to an exclusive or exhaustive sense. As used herein, the terms "connected," "coupled," or any variant thereof means any connection or coupling, either direct or indirect, between two or more elements. Such a coupling or connection between the elements can be physical, logical, or a combination thereof. Additionally, the words "herein," "above," "below," and words of similar import, when used in this application, refer to this application as a whole and not to any particular portions of this application. Where the context permits, words in the above Detailed Description using the singular or plural number may also include the plural or singular number respectively. The word "or," in reference to a list of two or more items, covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list.
[0034] The above Detailed Description of examples of the invention is not intended to be exhaustive or to limit the invention to the precise form disclosed above. While specific examples for the invention are described above for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. While processes or blocks are presented in a given order in this application, alternative implementations may perform routines having steps performed in a different order, or employ systems having blocks in a different order. Some processes or blocks may be deleted, moved, added, subdivided, combined, and/or modified to provide alternative or sub-combinations. Also, while processes or blocks are at times shown as being performed in series, these processes or blocks may instead be performed or implemented in parallel, or may be performed at different times. Further any specific numbers noted herein are only examples. It is understood that alternative implementations may employ differing values or ranges. [0035] The various illustrations and teachings provided herein can also be applied to systems other than the system described above. The elements and acts of the various examples described above can be combined to provide further implementations of the invention. [0036] Any patents and applications and other references noted above, including any that may be listed in accompanying filing papers, are incorporated herein by reference. Aspects of the invention can be modified, if necessary, to employ the systems, functions, and concepts included in such references to provide further implementations of the invention.
[0037] These and other changes can be made to the invention in light of the above Detailed Description. While the above description describes certain examples of the invention, and describes the best mode contemplated, no matter how detailed the above appears in text, the invention can be practiced in many ways. Details of the system may vary considerably in its specific implementation, while still being encompassed by the invention disclosed herein. As noted above, particular terminology used when describing certain features or aspects of the invention should not be taken to imply that the terminology is being redefined herein to be restricted to any specific characteristics, features, or aspects of the invention with which that terminology is associated. In general, the terms used in the following claims should not be construed to limit the invention to the specific examples disclosed in the specification, unless the above Detailed Description section explicitly defines such terms. Accordingly, the actual scope of the invention encompasses not only the disclosed examples, but also all equivalent ways of practicing or implementing the invention under the claims. [0038] While certain aspects of the invention are presented below in certain claim forms, the applicant contemplates the various aspects of the invention in any number of claim forms. For example, while only one aspect of the invention is recited as a means- plus-function claim under 35 U.S.C. § 112, sixth paragraph, other aspects may likewise be embodied as a means-plus-function claim, or in other forms, such as being embodied in a computer-readable medium. (Any claims intended to be treated under 35 U.S.C. § 112, 6 will begin with the words "means for.") Accordingly, the applicant reserves the right to add additional claims after filing the application to pursue such additional claim forms for other aspects of the invention.

Claims

CLAIMS We claim:
1. A clock driver comprising: a resonance element electrically coupled to a clock node of the clock driver, the resonance element configured to enable the clock driver to operate in a resonant mode; a drive element electrically coupled to the clock node, the drive element configured to receive and propagate a reference clock of the clock distribution network based on a logical input signal; a mid-point supply node configured to provide a power supply to the resonance element; a first switch element, wherein a first end of the first switch element is electrically coupled to the mid-point supply node and a second end of the first switch element is electrically coupled to a first end of the resonance element; a second switch element connected in parallel to the resonance element, wherein a first end of the second switch element is electrically coupled to the first end of the resonance element and a second end of the second switch element is electrically coupled to a second end of the resonance element; wherein, the clock driver is configured to selectively operate either in a resonant mode or a non-resonant mode based on an operating state of the first switch element and the second switch element, wherein: when the first switch element is switched on and the second switch element is switched off, the clock driver operates in a resonant mode; and when the second switch element is switched on, the resonance element is bypassed and the clock driver operates in a non-resonant mode.
PCT/US2010/052402 2009-10-12 2010-10-12 Architecture for operating resonant clock network in conventional mode WO2011046985A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012534306A JP2013507888A (en) 2009-10-12 2010-10-12 Architecture for operating a resonant clock network in conventional mode

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25083009P 2009-10-12 2009-10-12
US61/250,830 2009-10-12

Publications (2)

Publication Number Publication Date
WO2011046985A2 true WO2011046985A2 (en) 2011-04-21
WO2011046985A3 WO2011046985A3 (en) 2011-08-18

Family

ID=43854365

Family Applications (8)

Application Number Title Priority Date Filing Date
PCT/US2010/052401 WO2011046984A2 (en) 2009-10-12 2010-10-12 Architecture for single-stepping in resonant clock distribution networks
PCT/US2010/052390 WO2011046974A2 (en) 2009-10-12 2010-10-12 Resonant clock distribution network architecture with programmable drivers
PCT/US2010/052393 WO2011046977A2 (en) 2009-10-12 2010-10-12 Architecture for controlling clock characteristics
PCT/US2010/052405 WO2011046987A2 (en) 2009-10-12 2010-10-12 Resonant clock distribution network architecture for tracking parameter variations in conventional clock distribution networks
PCT/US2010/052396 WO2011046980A2 (en) 2009-10-12 2010-10-12 Architecture for adjusting natural frequency in resonant clock distribution networks
PCT/US2010/052402 WO2011046985A2 (en) 2009-10-12 2010-10-12 Architecture for operating resonant clock network in conventional mode
PCT/US2010/052395 WO2011046979A2 (en) 2009-10-12 2010-10-12 Method for selecting natural frequency in resonant clock distribution networks with no inductor overhead
PCT/US2010/052397 WO2011046981A2 (en) 2009-10-12 2010-10-12 Architecture for frequency-scaled operation in resonant clock distribution networks

Family Applications Before (5)

Application Number Title Priority Date Filing Date
PCT/US2010/052401 WO2011046984A2 (en) 2009-10-12 2010-10-12 Architecture for single-stepping in resonant clock distribution networks
PCT/US2010/052390 WO2011046974A2 (en) 2009-10-12 2010-10-12 Resonant clock distribution network architecture with programmable drivers
PCT/US2010/052393 WO2011046977A2 (en) 2009-10-12 2010-10-12 Architecture for controlling clock characteristics
PCT/US2010/052405 WO2011046987A2 (en) 2009-10-12 2010-10-12 Resonant clock distribution network architecture for tracking parameter variations in conventional clock distribution networks
PCT/US2010/052396 WO2011046980A2 (en) 2009-10-12 2010-10-12 Architecture for adjusting natural frequency in resonant clock distribution networks

Family Applications After (2)

Application Number Title Priority Date Filing Date
PCT/US2010/052395 WO2011046979A2 (en) 2009-10-12 2010-10-12 Method for selecting natural frequency in resonant clock distribution networks with no inductor overhead
PCT/US2010/052397 WO2011046981A2 (en) 2009-10-12 2010-10-12 Architecture for frequency-scaled operation in resonant clock distribution networks

Country Status (4)

Country Link
US (12) US8358163B2 (en)
JP (4) JP2013507885A (en)
KR (4) KR20120095908A (en)
WO (8) WO2011046984A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8729975B2 (en) 2011-08-23 2014-05-20 International Business Machines Corporation Implementing differential resonant clock with DC blocking capacitor

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7973565B2 (en) * 2007-05-23 2011-07-05 Cyclos Semiconductor, Inc. Resonant clock and interconnect architecture for digital devices with multiple clock networks
US8358163B2 (en) * 2009-10-12 2013-01-22 Cyclos Semiconductor, Inc. Resonant clock distribution network architecture for tracking parameter variations in conventional clock distribution networks
US8181140B2 (en) * 2009-11-09 2012-05-15 Xilinx, Inc. T-coil network design for improved bandwidth and electrostatic discharge immunity
US8739100B2 (en) * 2011-06-29 2014-05-27 The Regents Of The University Of California Distributed LC resonant tanks clock tree synthesis
US8482315B2 (en) 2011-08-23 2013-07-09 Apple Inc. One-of-n N-nary logic implementation of a storage cell
US8836366B2 (en) 2011-10-07 2014-09-16 Apple Inc. Method for testing integrated circuits with hysteresis
US8482333B2 (en) 2011-10-17 2013-07-09 Apple Inc. Reduced voltage swing clock distribution
EP3062188B1 (en) * 2011-12-14 2019-01-30 Intel Corporation Multi-supply sequential logic unit
EP2790336B1 (en) * 2011-12-27 2020-08-05 ZTE Corporation Global synchronization method and system based on packet switching system
US8847652B2 (en) * 2012-07-26 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfigurable and auto-reconfigurable resonant clock
WO2014025110A1 (en) * 2012-08-09 2014-02-13 서울대학교 산학협력단 Apparatus for controlling power devices and method for controlling power devices using same
US8941432B2 (en) 2012-08-31 2015-01-27 Advanced Micro Devices, Inc. Transitioning between resonant clocking mode and conventional clocking mode
US8836403B2 (en) 2012-08-31 2014-09-16 Advanced Micro Devices, Inc. Programmable clock driver
US8975936B2 (en) * 2012-08-31 2015-03-10 Advanced Micro Devices, Inc. Constraining clock skew in a resonant clocked system
US8854100B2 (en) 2012-08-31 2014-10-07 Advanced Micro Devices, Inc. Clock driver for frequency-scalable systems
US8742817B2 (en) * 2012-08-31 2014-06-03 Advanced Micro Devices, Inc. Controlling impedance of a switch using high impedance voltage sources to provide more efficient clocking
US9312813B2 (en) 2012-12-18 2016-04-12 Continental Automotive Systems, Inc. Instrument panel cluster
US8736342B1 (en) 2012-12-19 2014-05-27 International Business Machines Corporation Changing resonant clock modes
US8704576B1 (en) * 2013-02-05 2014-04-22 International Business Machines Corporation Variable resistance switch for wide bandwidth resonant global clock distribution
US9054682B2 (en) * 2013-02-05 2015-06-09 International Business Machines Corporation Wide bandwidth resonant global clock distribution
US9058130B2 (en) * 2013-02-05 2015-06-16 International Business Machines Corporation Tunable sector buffer for wide bandwidth resonant global clock distribution
US8887118B2 (en) 2013-02-22 2014-11-11 International Business Machines Corporation Setting switch size and transition pattern in a resonant clock distribution system
US20150212152A1 (en) * 2014-01-24 2015-07-30 Texas Instruments Incorporated Testing of integrated circuits during at-speed mode of operation
US9270289B2 (en) 2014-02-13 2016-02-23 Fujitsu Limited Monolithic signal generation for injection locking
US9773079B2 (en) 2014-04-29 2017-09-26 Drexel University Methods and computer-readable media for synthesizing a multi-corner mesh-based clock distribution network for multi-voltage domain and clock meshes and integrated circuits
US9276563B2 (en) 2014-06-13 2016-03-01 International Business Machines Corporation Clock buffers with pulse drive capability for power efficiency
US9429982B2 (en) * 2014-09-27 2016-08-30 Qualcomm Incorporated Configurable last level clock driver for improved energy efficiency of a resonant clock
US9595943B2 (en) 2014-10-08 2017-03-14 Globalfoundries Inc. Implementing broadband resonator for resonant clock distribution
US9490775B2 (en) 2014-12-19 2016-11-08 International Business Machines Corporation Implementing adaptive control for optimization of pulsed resonant drivers
US9612614B2 (en) 2015-07-31 2017-04-04 International Business Machines Corporation Pulse-drive resonant clock with on-the-fly mode change
US9634654B2 (en) 2015-08-07 2017-04-25 International Business Machines Corporation Sequenced pulse-width adjustment in a resonant clocking circuit
US9568548B1 (en) 2015-10-14 2017-02-14 International Business Machines Corporation Measurement of signal delays in microprocessor integrated circuits with sub-picosecond accuracy using frequency stepping
US9735793B2 (en) 2015-12-08 2017-08-15 Nxp Usa, Inc. Low-power clock repeaters and injection locking protection for high-frequency clock distributions
US9916409B2 (en) 2015-12-08 2018-03-13 International Business Machines Corporation Generating a layout for an integrated circuit
CN106680887A (en) * 2016-12-30 2017-05-17 佛山亚图信息技术有限公司 Light and infrared integrated induction device
US10454455B2 (en) 2017-09-25 2019-10-22 Rezonent Corporation Reduced-power electronic circuits with wide-band energy recovery using non-interfering topologies
US11023631B2 (en) 2017-09-25 2021-06-01 Rezonent Corporation Reduced-power dynamic data circuits with wide-band energy recovery
US10910946B2 (en) * 2018-09-27 2021-02-02 Intel Corporation Self-tuning zero current detection circuit
CA3080559A1 (en) 2019-05-13 2020-11-13 Wonderland Switzerland Ag Infant car seat
US11579649B1 (en) 2021-12-30 2023-02-14 Analog Devices, Inc. Apparatus and methods for clock duty cycle correction and deskew

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5734285A (en) * 1992-12-19 1998-03-31 Harvey; Geoffrey P. Electronic circuit utilizing resonance technique to drive clock inputs of function circuitry for saving power
US6882182B1 (en) * 2003-09-23 2005-04-19 Xilinx, Inc. Tunable clock distribution system for reducing power dissipation
US20080150605A1 (en) * 2006-12-01 2008-06-26 The Regents Of The University Of Michigan Clock Distribution Network Architecture with Clock Skew Management
US20090027085A1 (en) * 2007-05-23 2009-01-29 Cyclos Semiconductor, Inc. Resonant clock and interconnect architecture for digital devices with multiple clock networks

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR860001485B1 (en) 1982-09-13 1986-09-26 산요덴기 가부시기가이샤 Analog switch circuit
JPS63246865A (en) 1987-04-02 1988-10-13 Oki Electric Ind Co Ltd Cmos semiconductor device and manufacture thereof
JPH02105910A (en) * 1988-10-14 1990-04-18 Hitachi Ltd Logic integrated circuit
JPH02294537A (en) * 1989-05-10 1990-12-05 Mitsubishi Electric Corp Engine idling regulation
US5036217A (en) * 1989-06-02 1991-07-30 Motorola, Inc. High-speed low-power flip-flop
US5023480A (en) * 1990-01-04 1991-06-11 Digital Equipment Corporation Push-pull cascode logic
IT1243692B (en) 1990-07-27 1994-06-21 St Microelectronics Srl DEVICE FOR PILOTING A FLOATING CIRCUIT WITH A DIGITAL SIGNAL
US5111072A (en) * 1990-08-29 1992-05-05 Ncr Corporation Sample-and-hold switch with low on resistance and reduced charge injection
JP2695078B2 (en) 1991-06-10 1997-12-24 株式会社東芝 Data processing device clock signal distribution method
JPH0595266A (en) * 1991-09-30 1993-04-16 Rohm Co Ltd Transmission gate
US5384493A (en) 1991-10-03 1995-01-24 Nec Corporation Hi-speed and low-power flip-flop
US5311071A (en) 1991-10-21 1994-05-10 Silicon Systems, Inc. High speed threshold crossing detector with reset
US5215188A (en) * 1992-02-24 1993-06-01 Empak, Inc. Security package with a slidable locking mechanism
WO1994002993A1 (en) 1992-07-17 1994-02-03 Massachusetts Institute Of Technology Recovered energy logic circuits
KR960016605B1 (en) 1992-11-20 1996-12-16 마쯔시다 덴꼬 가부시끼가이샤 Power supply
US5430408A (en) 1993-03-08 1995-07-04 Texas Instruments Incorporated Transmission gate circuit
US8089323B2 (en) 2006-08-05 2012-01-03 Min Ming Tarng Green technology: green circuit and device designs of green chip
JPH07154228A (en) * 1993-09-30 1995-06-16 Nippon Telegr & Teleph Corp <Ntt> Logic circuit device
US5473571A (en) 1993-09-30 1995-12-05 Nippon Telegraph And Telephone Corporation Data hold circuit
GB9320246D0 (en) * 1993-10-01 1993-11-17 Sgs Thomson Microelectronics A driver circuit
US5537067A (en) 1994-03-11 1996-07-16 Texas Instruments Incorporated Signal driver circuit operable to control signal rise and fall times
US5559463A (en) * 1994-04-18 1996-09-24 Lucent Technologies Inc. Low power clock circuit
US5489866A (en) 1994-04-19 1996-02-06 Xilinx, Inc. High speed and low noise margin schmitt trigger with controllable trip point
US5473526A (en) * 1994-04-22 1995-12-05 University Of Southern California System and method for power-efficient charging and discharging of a capacitive load from a single source
JPH07321640A (en) 1994-05-30 1995-12-08 Nippon Telegr & Teleph Corp <Ntt> Programmable logic circuit
CA2151850A1 (en) * 1994-07-18 1996-01-19 Thaddeus John Gabara Hot-clock adiabatic gate using multiple clock signals with different phases
US5504441A (en) * 1994-08-19 1996-04-02 International Business Machines Corporation Two-phase overlapping clocking technique for digital dynamic circuits
US5517145A (en) * 1994-10-31 1996-05-14 International Business Machines Corporation CMOS toggle flip-flop using adiabatic switching
US5506528A (en) 1994-10-31 1996-04-09 International Business Machines Corporation High speed off-chip CMOS receiver
US5506520A (en) 1995-01-11 1996-04-09 International Business Machines Corporation Energy conserving clock pulse generating circuits
US5508639A (en) * 1995-01-13 1996-04-16 Texas Instruments Incorporated CMOS clock drivers with inductive coupling
US5526319A (en) 1995-01-31 1996-06-11 International Business Machines Corporation Memory with adiabatically switched bit lines
JP3313276B2 (en) 1995-03-15 2002-08-12 株式会社東芝 MOS gate circuit and power supply method thereof
US5538346A (en) * 1995-06-07 1996-07-23 The Young Engineers, Inc. Novel ball transfer unit
US5559478A (en) 1995-07-17 1996-09-24 University Of Southern California Highly efficient, complementary, resonant pulse generation
JP3233557B2 (en) 1995-07-21 2001-11-26 シャープ株式会社 Method and apparatus for measuring threshold characteristics of semiconductor integrated circuit
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
KR100466457B1 (en) 1995-11-08 2005-06-16 마츠시타 덴끼 산교 가부시키가이샤 Signal transmission circuit, signal reception circuit and signal transmission / reception circuit, signal transmission method, signal reception method, signal transmission / reception method, semiconductor integrated circuit and control method thereof
US5760620A (en) * 1996-04-22 1998-06-02 Quantum Effect Design, Inc. CMOS limited-voltage-swing clock driver for reduced power driving high-frequency clocks
JP3437719B2 (en) 1996-07-24 2003-08-18 株式会社東芝 Analog switch circuit
JP3579205B2 (en) * 1996-08-06 2004-10-20 株式会社ルネサステクノロジ Semiconductor storage device, semiconductor device, data processing device, and computer system
US5896054A (en) 1996-12-05 1999-04-20 Motorola, Inc. Clock driver
US5838203A (en) 1996-12-06 1998-11-17 Intel Corporation Method and apparatus for generating waveforms using adiabatic circuitry
JP3241619B2 (en) * 1996-12-25 2001-12-25 シャープ株式会社 CMOS logic circuit
US5841299A (en) 1997-02-06 1998-11-24 Intel Corporation Method and apparatus for implementing an adiabatic logic family
JP3258930B2 (en) 1997-04-24 2002-02-18 東芝マイクロエレクトロニクス株式会社 Transmission gate
JPH118314A (en) 1997-04-25 1999-01-12 Toshiba Corp Method and device for optimizing tree depth of clock signal wiring
US5872489A (en) * 1997-04-28 1999-02-16 Rockwell Science Center, Llc Integrated tunable inductance network and method
JP3756285B2 (en) 1997-05-09 2006-03-15 シャープ株式会社 CMOS logic circuit and driving method thereof
US5986476A (en) * 1997-08-08 1999-11-16 Intel Corporation Method and apparatus for implementing a dynamic adiabatic logic family
US6242951B1 (en) 1997-09-05 2001-06-05 Shunji Nakata Adiabatic charging logic circuit
JPH1197627A (en) * 1997-09-18 1999-04-09 Hitachi Ltd Semiconductor integrated circuit and electronic device using the same
US6069495A (en) * 1997-11-21 2000-05-30 Vsli Technology, Inc. High-speed logic embodied differential dynamic CMOS true single phase clock latches and flip-flops with single transistor clock latches
US5999025A (en) 1998-03-27 1999-12-07 Xilinx, Inc. Phase-locked loop architecture for a programmable logic device
US6011441A (en) 1998-04-27 2000-01-04 International Business Machines Corporation Clock distribution load buffer for an integrated circuit
EP0953892A1 (en) 1998-04-29 1999-11-03 Lsi Logic Corporation Method of providing clock signals to load circuits in an ASIC device
FR2781065B1 (en) 1998-07-10 2000-08-25 St Microelectronics Sa METHOD OF PLACING-ROUTING A GLOBAL CLOCK CIRCUIT ON AN INTEGRATED CIRCUIT, AND ASSOCIATED DEVICES
KR100277903B1 (en) 1998-10-19 2001-01-15 김영환 Micro processor having variable clock operation
US6438422B1 (en) * 1998-10-28 2002-08-20 Medtronic, Inc. Power dissipation reduction in medical devices using adiabatic logic
US6052019A (en) 1998-10-29 2000-04-18 Pericom Semiconductor Corp. Undershoot-isolating MOS bus switch
JP3753355B2 (en) 1998-11-10 2006-03-08 株式会社ルネサステクノロジ Semiconductor device
US6538346B2 (en) * 1998-11-25 2003-03-25 Stmicroelectronics S.R.L. System for driving a reactive load
US6323701B1 (en) 1998-12-28 2001-11-27 Cypress Semiconductor Corporation Scheme for reducing leakage current in an input buffer
JP4030213B2 (en) 1999-02-22 2008-01-09 株式会社ルネサステクノロジ Semiconductor circuit device
US6177819B1 (en) 1999-04-01 2001-01-23 Xilinx, Inc. Integrated circuit driver with adjustable trip point
US6160422A (en) 1999-05-03 2000-12-12 Silicon Integrated Systems Corp. Power saving clock buffer
US7005893B1 (en) * 1999-07-19 2006-02-28 University Of Southern California High-performance clock-powered logic
US6278308B1 (en) * 1999-10-08 2001-08-21 Advanced Micro Devices, Inc. Low-power flip-flop circuit employing an asymmetric differential stage
US6331797B1 (en) 1999-11-23 2001-12-18 Philips Electronics North America Corporation Voltage translator circuit
US6445210B2 (en) 2000-02-10 2002-09-03 Matsushita Electric Industrial Co., Ltd. Level shifter
US6448816B1 (en) 2000-07-11 2002-09-10 Piconetics, Inc. Resonant logic and the implementation of low power digital integrated circuits
KR100403810B1 (en) * 2001-03-09 2003-10-30 삼성전자주식회사 Hybrid power supply circuit and method for charging/discharging a logic circuit using the same
US6630855B2 (en) 2001-03-29 2003-10-07 Intel Corporation Clock distribution phase alignment technique
US6608512B2 (en) 2001-12-28 2003-08-19 Honeywell International Inc. Full rail drive enhancement to differential SEU hardening circuit
US7145408B2 (en) 2002-01-11 2006-12-05 The Trustees Of Columbia University In The City Of New York Resonant clock distribution for very large scale integrated circuits
DE10211609B4 (en) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Method and power amplifier for generating sinusoidal high-frequency signals for operating a load
US6777992B2 (en) 2002-04-04 2004-08-17 The Regents Of The University Of Michigan Low-power CMOS flip-flop
US6879190B2 (en) 2002-04-04 2005-04-12 The Regents Of The University Of Michigan Low-power driver with energy recovery
US6742132B2 (en) 2002-04-04 2004-05-25 The Regents Of The University Of Michigan Method and apparatus for generating a clock signal having a driven oscillator circuit formed with energy storage characteristics of a memory storage device
US7015765B2 (en) 2003-01-13 2006-03-21 The Trustees Of Columbia In The City Of New York Resonant clock distribution for very large scale integrated circuits
JP2004348573A (en) * 2003-05-23 2004-12-09 Renesas Technology Corp Clock generation circuit and system including it
US6856171B1 (en) 2003-06-11 2005-02-15 Lattice Semiconductor Corporation Synchronization of programmable multiplexers and demultiplexers
US7237217B2 (en) * 2003-11-24 2007-06-26 International Business Machines Corporation Resonant tree driven clock distribution grid
WO2005092044A2 (en) 2004-03-22 2005-10-06 Mobius Microsystems, Inc. Monolithic clock generator and timing/frequency reference
US7307486B2 (en) 2004-03-22 2007-12-11 Mobius Microsystems, Inc. Low-latency start-up for a monolithic clock generator and timing/frequency reference
US7365614B2 (en) * 2004-03-22 2008-04-29 Mobius Microsystems, Inc. Integrated clock generator and timing/frequency reference
US7355454B2 (en) 2004-06-15 2008-04-08 The Regents Of The University Of Michigan Energy recovery boost logic
JP4536449B2 (en) * 2004-07-29 2010-09-01 富士通株式会社 Driver circuit, semiconductor device, and electronic device
US7215188B2 (en) 2005-02-25 2007-05-08 Freescale Semiconductor, Inc. Integrated circuit having a low power mode and method therefor
US7415645B2 (en) 2005-07-28 2008-08-19 International Business Machines Corporation Method and apparatus for soft-error immune and self-correcting latches
JP4299283B2 (en) * 2005-09-16 2009-07-22 富士通株式会社 Clock signal generation and distribution device
US7301385B2 (en) 2005-09-22 2007-11-27 Sony Computer Entertainment Inc. Methods and apparatus for managing clock skew
KR100834400B1 (en) 2005-09-28 2008-06-04 주식회사 하이닉스반도체 DLL for increasing frequency of DRAM and output driver of the DLL
TWI298579B (en) * 2005-10-04 2008-07-01 Univ Nat Taiwan Science Tech An dual-band voltage controlled oscillator utilizing switched feedback technology
US7622977B2 (en) * 2005-10-27 2009-11-24 The Regents Of The University Of Michigan Ramped clock digital storage control
US7489176B2 (en) * 2006-04-28 2009-02-10 Rambus Inc. Clock distribution circuit
JP2007300290A (en) * 2006-04-28 2007-11-15 Nec Electronics Corp Clock distribution circuit
ITMI20061272A1 (en) * 2006-06-30 2008-01-01 St Microelectronics Srl DYNAMIC TUNING METHOD OF THE TIMING FREQUENCY (CLOCK) IN A OSCILLATOR AND ITS OSCILLATOR SYSTEM.
KR100807115B1 (en) * 2006-09-29 2008-02-27 주식회사 하이닉스반도체 Semiconductor memory device and driving method thereof
NL1032933C2 (en) * 2006-11-23 2008-05-26 Peinemann Equipment Bv Gripper for objects.
JP4229177B2 (en) 2006-11-30 2009-02-25 ミツミ電機株式会社 Multi-phase DC-DC converter
JP4952234B2 (en) * 2006-12-20 2012-06-13 ソニー株式会社 Clock supply device
JP2009022029A (en) * 2008-09-01 2009-01-29 Renesas Technology Corp Semiconductor integrated circuit device
JP4966352B2 (en) * 2009-09-25 2012-07-04 シャープ株式会社 Optical pointing device and electronic device
US8358163B2 (en) * 2009-10-12 2013-01-22 Cyclos Semiconductor, Inc. Resonant clock distribution network architecture for tracking parameter variations in conventional clock distribution networks
US8350632B1 (en) 2009-11-05 2013-01-08 National Semiconductor Corporation Energy-conserving driver for reactive loads
JP2011101266A (en) 2009-11-06 2011-05-19 Elpida Memory Inc Semiconductor device and information processing system
US8860425B2 (en) * 2012-03-02 2014-10-14 International Business Machines Corporation Defect detection on characteristically capacitive circuit nodes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5734285A (en) * 1992-12-19 1998-03-31 Harvey; Geoffrey P. Electronic circuit utilizing resonance technique to drive clock inputs of function circuitry for saving power
US6882182B1 (en) * 2003-09-23 2005-04-19 Xilinx, Inc. Tunable clock distribution system for reducing power dissipation
US20080150605A1 (en) * 2006-12-01 2008-06-26 The Regents Of The University Of Michigan Clock Distribution Network Architecture with Clock Skew Management
US20090027085A1 (en) * 2007-05-23 2009-01-29 Cyclos Semiconductor, Inc. Resonant clock and interconnect architecture for digital devices with multiple clock networks

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8729975B2 (en) 2011-08-23 2014-05-20 International Business Machines Corporation Implementing differential resonant clock with DC blocking capacitor

Also Published As

Publication number Publication date
US20110084774A1 (en) 2011-04-14
US20140002175A1 (en) 2014-01-02
WO2011046984A3 (en) 2011-08-18
WO2011046987A3 (en) 2011-08-18
WO2011046974A3 (en) 2011-10-13
US8339209B2 (en) 2012-12-25
WO2011046977A3 (en) 2011-09-15
US8362811B2 (en) 2013-01-29
WO2011046980A3 (en) 2011-09-15
KR20120082450A (en) 2012-07-23
US8659338B2 (en) 2014-02-25
JP2013507888A (en) 2013-03-04
KR20120095393A (en) 2012-08-28
WO2011046981A3 (en) 2011-09-22
US20130328608A1 (en) 2013-12-12
US9041451B2 (en) 2015-05-26
US20110084772A1 (en) 2011-04-14
WO2011046984A2 (en) 2011-04-21
JP2013507885A (en) 2013-03-04
WO2011046985A3 (en) 2011-08-18
US20110084773A1 (en) 2011-04-14
WO2011046979A3 (en) 2011-09-01
JP2013507887A (en) 2013-03-04
US8502569B2 (en) 2013-08-06
US20110090019A1 (en) 2011-04-21
US20110090018A1 (en) 2011-04-21
JP2013507886A (en) 2013-03-04
US8400192B2 (en) 2013-03-19
US20140015585A1 (en) 2014-01-16
US20110140753A1 (en) 2011-06-16
WO2011046974A2 (en) 2011-04-21
KR20120095908A (en) 2012-08-29
WO2011046987A2 (en) 2011-04-21
US8593183B2 (en) 2013-11-26
KR20120093954A (en) 2012-08-23
US20110084736A1 (en) 2011-04-14
US8358163B2 (en) 2013-01-22
WO2011046977A2 (en) 2011-04-21
WO2011046980A2 (en) 2011-04-21
WO2011046981A2 (en) 2011-04-21
US8368450B2 (en) 2013-02-05
US20110084775A1 (en) 2011-04-14
WO2011046979A2 (en) 2011-04-21
US20130194018A1 (en) 2013-08-01

Similar Documents

Publication Publication Date Title
US8502569B2 (en) Architecture for operating resonant clock network in conventional mode
EP3139496B1 (en) Capacitor arrangement for oscillator
US8729975B2 (en) Implementing differential resonant clock with DC blocking capacitor
EP2891026A1 (en) Transitioning between resonant clocking mode and conventional clocking mode
US7126403B2 (en) LC tank clock driver with automatic tuning
Salem et al. 26.4 A 0.4-to-1V 1MHz-to-2GHz switched-capacitor adiabatic clock driver achieving 55.6% clock power reduction
US9595943B2 (en) Implementing broadband resonator for resonant clock distribution
EP2891025B1 (en) Controlling impedance of a switch using high impedance voltage sources to provide more efficient clocking
CN115144800A (en) Transmitting coil assembly, magnetic resonance system and control method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10823980

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2012534306

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20127012399

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 10823980

Country of ref document: EP

Kind code of ref document: A2