WO2011050073A1 - Self-aligned barrier and capping layers for interconnects - Google Patents

Self-aligned barrier and capping layers for interconnects Download PDF

Info

Publication number
WO2011050073A1
WO2011050073A1 PCT/US2010/053391 US2010053391W WO2011050073A1 WO 2011050073 A1 WO2011050073 A1 WO 2011050073A1 US 2010053391 W US2010053391 W US 2010053391W WO 2011050073 A1 WO2011050073 A1 WO 2011050073A1
Authority
WO
WIPO (PCT)
Prior art keywords
copper
manganese
iodine
layer
precursor
Prior art date
Application number
PCT/US2010/053391
Other languages
French (fr)
Inventor
Roy Gerald Gordon
Harish Bhandari
Yeung Au
Youbo Lin
Original Assignee
President And Fellows Of Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by President And Fellows Of Harvard College filed Critical President And Fellows Of Harvard College
Priority to CN201080059054.5A priority Critical patent/CN102859662B/en
Priority to AU2010310750A priority patent/AU2010310750B2/en
Priority to JP2012535339A priority patent/JP5809153B2/en
Priority to KR1020167027441A priority patent/KR101770538B1/en
Priority to KR1020167027439A priority patent/KR101770537B1/en
Priority to EP10773203.4A priority patent/EP2491579B1/en
Priority to KR1020127013168A priority patent/KR101730203B1/en
Publication of WO2011050073A1 publication Critical patent/WO2011050073A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Copper is replacing aluminum as the material of choice for wiring of microelectronic devices, such as microprocessors and memories.
  • semiconductors such as silicon
  • Copper also increases the leakage of current through insulators, such as silicon dioxide, placed between the copper wires. Therefore use of copper wiring demands that efficient diffusion barriers surround the copper wires, to keep the copper confined to its proper locations.
  • insulators such as silicon dioxide
  • iodine has been proposed as a suitable catalyst in growing copper using a CVD technique.
  • barrier layers such as TaN and TiN
  • a thin copper seeding layer or activation of the barrier layer with plasma pretreatment is needed within the trenches and holes, which has been extremely difficult to perform.
  • This technology relates to copper interconnections used in microelectronics, and more particularly relates to materials and techniques to secure robust adhesion between the copper and the surrounding materials, providing barriers to prevent diffusion of copper out of the wiring, keeping oxygen and water from diffusing into the copper, and keeping the copper wires from being damaged by the electric current that they carry.
  • a metal such as Mn, Co, Cr or V is reacted with the surfaces of the insulator prior to deposition of a Cu-containing seed layer.
  • the Mn, Co, Cr or V is delivered to the surfaces by a conformal chemical vapor deposition (CVD) process that does not involve the use of any oxygen-containing co-reactant along with the precursor for Mn, Co, Cr or V.
  • CVD conformal chemical vapor deposition
  • the CVD process may further comprise the use of a nitrogen-containing co-reactant, such as ammonia, thereby incorporating an electrically conductive metal nitride on or near the surfaces exposed to the vapors.
  • a nitrogen-containing co-reactant such as ammonia
  • metal nitride such as manganese nitride
  • this process does not increase via resistance by formation of barriers at the bottoms of the vias.
  • a Cu seed layer is deposited, preferably by CVD.
  • the seed layer can also be deposited as a copper compound, such as copper oxide (Cu 2 0), copper nitride (Cu 3 N) or copper oxynitride (CuO z N w ), which is later reduced to Cu.
  • Mn, Co, Cr or V is deposited on the planar surface of a partially completed interconnect just after a CMP step (i.e., a planarized structure).
  • the Mn, Co, Cr or V reacts with silicon and oxygen contained in the insulator to form an insulating metal silicate layer, e.g., a MnSi x O y layer where the metal is Mn.
  • the Mn dissolves into the top layers of the Cu to form a Cu-Mn alloy.
  • a blanket deposition of the insulator for the next higher level of insulator is formed over both the Cu-Mn and MnSi x O y regions.
  • the Mn in the Cu-Mn surface layer diffuses upward to react with the insulator to form a MnSi x N y diffusion barrier between the Cu and the insulator, in the embodiment in which the initially-deposited part of this insulator is Si 3 N 4 .
  • the presence of this MnSi x N y layer also increases the adhesion between the Cu and the insulator above it.
  • MnSi x O y and MnSi x N y layers provide highly conductive, strongly adherent and durable copper layers for, e.g., the production of electronic elements, circuits, devices, and systems.
  • Mn, Co, Cr or V is deposited selectively only on the metallic areas of the planar surface of a partially completed interconnect just after a CMP step (i.e., a planarized structure). At the same time little or no Mn, Co, Cr or V is deposited on nearby surfaces of insulators.
  • the process increases the adhesion of copper to subsequently- deposited insulators while maintaining very low electrical leakage across insulators between neighboring copper conductors. This process increases the lifetime of copper interconnects before they fail due to electromigration.
  • the present application describes a process for forming an integrated circuit interconnect structure.
  • the process comprises: providing a partially- completed interconnect structure that includes an electrically insulating region and an electrically conductive copper-containing region, the partially-completed interconnect structure having a substantially planar surface; depositing a metal (M) selected from the group consisting of manganese, chromium and vanadium on or into at least a portion of the electrically conductive copper-containing regions; depositing an insulating film on at least a part of the deposited metal, wherein the region of the deposited insulating film in contact with said at least a part of the deposited metal is substantially free of oxygen; and reacting at least a part of the deposited metal with the insulating film to form a barrier layer, wherein the electrically conductive copper-containing region is substantially free of elemental metal (M).
  • M metal
  • the process includes: providing a partially-completed interconnect structure having a via or a trench, the via or trench including sidewalls defined by one or more electrically insulating materials and an electrically conductive copper-containing bottom region; depositing a metal (M) selected from the group consisting of manganese, chromium and vanadium on the partially-completed interconnect structure; forming second insulating sidewall regions through reaction of the deposited metal and said one or more electrically insulating materials; removing or diffusing away the metal from the bottom region to expose the electrically conductive copper-containing bottom region; and filling the via or trench with copper.
  • M metal
  • the manganese may be replaced by chromium or vanadium.
  • a process is provided for the bottom-up filling of trenches or holes with copper or copper manganese alloy by a CVD method using manganese nitride as a underlayer and iodine as a surfactant catalyst.
  • the copper or copper manganese alloy is deposited with a CVD method using appropriate vapor sources. Conformal deposition in sub- 100 nm trenches can be achieved. Conformally deposited manganese nitride films show barrier properties against Cu diffusion and enhance adhesion between Cu and dielectric insulators.
  • iodine Release of adsorbed iodine atoms from the surface of manganese nitride films allows iodine to act as a surfactant catalyst floating on the surface of a growing copper layer. As the copper layer grows, iodine is continually released from the deposition interface to 'float' to the top of the growing copper layer and to serve as a surface catalyst for the next layer of copper to be deposited. As a result, void-free bottom-up filling of CVD of pure copper or copper- manganese alloy has been achieved in trenches narrower than 20 nm with aspect ratios over 9: 1.
  • manganese in the alloy diffuses out from copper through the grain boundaries and forms a self-aligned layer to further improve adhesion and barrier properties at the copper/insulator interface. This process provides nanoscale interconnects for microelectronic devices with higher speeds and longer lifetimes.
  • a partially completed interconnect structure having open trenches (that will contain wires) and holes (vias that will connect one level of wires with wires already formed) can be subjected to one or more CVD processes.
  • CVD can be used to deposit manganese on the walls of the trenches and vias, as well as on exposed portions of any conductors already formed at the bottoms of the vias.
  • CVD can be used to chemisorb iodine onto the manganese-coated surfaces.
  • CVD of copper can be carried out in such a way that iodine catalyzes the bottom-up filling of vias and trenches without any seams or voids.
  • the a layer of manganese nitride (MnN x , 0 ⁇ x ⁇ l) can be formed, followed by chemisorption of iodine on the manganese nitride, and followed by catalytically-enhanced CVD of void-free copper to fill the vias and trenches.
  • a layer of manganese nitride (MnN x , 0 ⁇ x ⁇ l) can be formed, followed by chemisorption of iodine and followed by catalytically-enhanced CVD of a thin layer of copper. Additional iodine can then be further chemisorbed onto the surface of this thin copper layer, followed by additional CVD of copper that may be even more efficiently catalyzed by the larger amount of iodine resulting from both chemisorption steps.
  • alternating steps of CVD manganese and CVD copper, resulting in filling of the trenches and vias with a copper-manganese nanolaminate can further be carried out.
  • Heating this structure can permit diffusion of the manganese to nearby insulator surfaces, where it can increase the adhesion strength of the copper to the insulators and form a self-aligned barrier to diffusion of copper, water and oxygen.
  • the interconnect After the out-diffusion of manganese, the interconnect can become pure, highly conductive copper bonded strongly to the insulator surfaces by the manganese.
  • copper and manganese precursor vapors can simultaneously be introduced into the deposition zone of a CVD reactor, along with any necessary reducing agents, such as hydrogen, to deposit a void- free copper-manganese alloy.
  • CVD can be used to form a thin layer comprising Mn, I and Cu, which can serve as a seed layer for electroplating Cu.
  • Precursors suitable for CVD of manganese include manganese amidinates, such as manganese(II) bis(N,N'-dialklyamidinates), which can be reduced with hydrogen to give manganese metal, or reacted with ammonia to deposit manganese nitride at low temperatures and with dense nucleation on insulator surfaces.
  • manganese amidinates such as manganese(II) bis(N,N'-dialklyamidinates
  • Copper amidinates such as copper(I) N,N'-dialkylamidinate dimers, are particularly suitable because their high thermal stability and high reactivity permit pure copper to be deposited by hydrogen reduction at low temperatures with dense nucleation on iodine-covered manganese or manganese nitride surfaces.
  • Fig. 1 is a schematic cross section of the top of a partially completed interconnect wiring structure in accordance with the invention, after a Chemical Mechanical Polishing (CMP) step.
  • CMP Chemical Mechanical Polishing
  • Fig. 2 is the structure of Fig. 1 after a metal deposition.
  • Fig. 3 is the structure of Fig. 2 after removal of metal silicate.
  • Fig. 4 is the structure of Fig. 3 after a blanket insulator is deposited.
  • Fig. 5 is the structure of Fig. 4 after lithography and etching of vias and trenches in the insulator.
  • Fig. 6 is the structure of Fig. 5 after an anneal.
  • Fig. 7 is the structure of Fig. 6 after another metal deposition.
  • Fig. 8 is the structure of Fig. 7 after an anneal.
  • Fig. 9 is the structure of Fig. 8 after seed layer deposition and filling with copper.
  • Fig. 10 is the structure of Fig. 9 after Chemical Mechanical Polishing.
  • Fig. 11 is a cross-sectional high-resolution transmission micrograph of the result of CVD Mn on a Cu / Si0 2 /Si substrate.
  • Fig. 12 is a scanning electron micrograph of (a) Cu/Si0 2 /Si and (b) Cu/MnSi x O y /Si after annealing at 500 °C and etching off the Cu, along with elemental analyses of the surfaces.
  • Fig. 13 shows capacitance-voltage curves for samples of (a) Cu/Si0 2 /Si and (b) Cu/MnSi x O y /Si0 2 /Si before and after annealing at 400 °C.
  • Fig. 14 shows capacitance-voltage curves for samples of (a) Cu/Si0 2 /Si and (b) Cu/MnSi x O y /Si0 2 /Si before and after annealing at 250 °C under a 1 MV/cm electric field.
  • Fig. 15 shows a cross-section of a MnSi x O y layer formed by CVD on a low-k insulator.
  • Fig. 16 shows the Rutherford Backscattering spectra (RBS) of a copper surface and a Si0 2 surface, each of which was exposed to the same CVD conditions, which deposited 8 nm of manganese only on the copper, while depositing no manganese on the Si0 2 .
  • RBS Rutherford Backscattering spectra
  • Fig. 17 shows the distribution of manganese near the surface of a copper layer that had been exposed to CVD of manganese.
  • Fig. 18 shows a plot of the adhesion energy of a copper-manganese alloy to silicon- containing insulators as a function of the manganese to silicon ratio at the interface.
  • Fig. 19 shows X-ray Photoelectron Spectra of insulator surfaces subject to CVD manganese with the inventive process along with less selective processes.
  • Fig. 20 is a scanning electron micrograph (SEM) of narrow holes lined with MnN x in accordance with certain embodiments.
  • Fig. 21 is a transmission electron micrograph (TEM) of narrow trenches lined with MnN x and filled with Cu in accordance with certain embodiments.
  • Fig. 22 shows X-ray photoelectron spectra (XPS) showing that iodine remains on the surface of the copper throughout the deposition of copper.
  • XPS X-ray photoelectron spectra
  • Fig. 23 is a scanning electron micrograph of narrow trenches lined with MnN x and filled with Cu in accordance with certain embodiments.
  • Fig. 24 shows a trench partly filled by iodine-catalyzed CVD of copper on an MnN x lined trench.
  • Fig. 25 shows SEM and energy-dispersive X-ray analysis (EDX) data showing that MnN x is a barrier to diffusion of copper.
  • EDX energy-dispersive X-ray analysis
  • Fig. 26 is a SEM of narrow trenches lined with MnN x and filled with a Cu-Mn nanolaminate in accordance with certain embodiments.
  • Fig. 27 shows that iodine remains on the surface during deposition of a copper- manganese nanolaminate.
  • Fig. 28 is a SEM of narrow trenches lined with MnN x and filled with a Cu-Mn alloy in accordance with certain embodiments.
  • Fig. 29 shows that iodine remains on the surface during deposition of a copper- manganese alloy.
  • Fig. 30 is an SEM of polyimide plastic coated with MnN x and Cu in accordance with certain embodiments.
  • Fig. 31 is an SEM of plastic circuit board material coated with MnN x and Cu in accordance with certain embodiments.
  • FIG. 1 A partially completed multi-level wiring structure for a microelectronic device is shown in Fig. 1.
  • This structure comprises a substantially planar surface comprising insulating areas 10, e.g., silica, and electrically conducting areas 20, e.g., copper, forming the top of a completed lower level of wiring, separated by a diffusion barrier 25.
  • this diffusion barrier can comprise manganese silicate.
  • the device at this stage has been processed by CMP followed by cleaning.
  • Mn other metal precursors that contain, for example, Co, Cr, or V, can just as easily be carried used.
  • Mn (or Co, or Cr, or V) metal is deposited on the surface.
  • the Mn reacts with the exposed areas of the insulator 10 to form an insulating MnSi x O y layer marked 30 in Fig. 2.
  • the Mn diffuses into the upper portion of the Cu to form a CuMn alloy 40.
  • the location of the upper surface prior to deposition is indicated by arrows 45, 45'.
  • Mn is deposited on a heated substrate. If the temperature of the substrate is high enough (typically over 150 °C) and the deposition of Mn is slow enough, then the reaction and diffusion of the Mn may be complete by the end of the deposition. If the reaction with the insulator and the diffusion into the Cu are not complete during deposition, then a post-deposition anneal may be used to complete the reaction and diffusion.
  • Mn may be deposited by any convenient method, including chemical and physical methods. Chemical methods include chemical vapor deposition (CVD) and atomic layer deposition (ALD). Physical methods include sputtering and evaporation. Because the substrate is planar, step coverage by the deposition method is not critical to this step. Thus physical methods, which have poor step coverage, are adequate for this deposition step. CVD can also be used in this step whether or not the specific CVD process has good step coverage. [0063] The MnSi x O y layer 30 can optionally be removed after Mn deposition, as is shown in Fig. 3. The MnSi x O y layer 30 formed in the last step is an electrical insulator, but its leakage current may be higher than desired in some applications.
  • this metal silicate layer 30 may be removed, in order to reduce the leakage current in devices.
  • the silicate layer 30 may be removed by any convenient means, such as polishing, wet etching or dry etching. The removal may be non-selective, removing copper at the same rate as the silicate, thereby maintaining a flat surface.
  • the silicate layer 30 may be removed selectively without removing copper, as is illustrated in Fig. 3. The resulting uneven surface requires a conformal method to deposit the blanket insulator in the next step.
  • the surfaces can be pretreated to selectively deposit manganese on the copper surfaces.
  • selective deposition refers to preferential deposition of a material onto one surface while little or no deposition occurs on a different surface. Accordingly, the surface can be pretreated to preferentially deposit manganese on the copper surface and to reduce or eliminate deposition of manganese on the insulator areas). Reactive sites on the insulator surface can be deactivated using protecting agents prior to the CVD of manganese.
  • This deactivation can be accomplished by reaction of the insulator surface with alkylsilane compounds either in the vapor phase or in solution.
  • effective deactivating compounds comprise dialkylamide groups bonded to silicon, such as bis(NN- dialkylamino)dialkylsilanes and N,N-dialkylaminotrialkylsilanes.
  • Exemplary reagents of these two types include bis(A ,N-dimethylamino)dimethylsilane, (CH3) 2 Si(N(CH3) 2 )2, and (N,N- dimethylamino)trimethylsilane, (CH3)3SiN(CH3) 2 .
  • the deactivation can be accomplished by reaction of the insulator surface with two or more alkylsilane compounds either in the vapor phase or in solution to synergistically reduce reactivity of the insulating surfaces.
  • two or more alkylsilane compounds either in the vapor phase or in solution to synergistically reduce reactivity of the insulating surfaces.
  • “synergistic” means that the use of the two or more protecting agents leads to a greater deactivation effect as compared to the deactivation effect obtained by use of the individual protecting agents.
  • a manganese amidinate vapor and hydrogen gas are brought into contact with a heated substrate.
  • a thin, continuous layer of copper-manganese alloy 40 is formed near the surface of the copper.
  • insulators 10 such as Si0 2 or SiCOH, little or no manganese is deposited.
  • the temperature of the heated surface can be in a suitable range, typically 200 to 350 °C, or more preferably 250 to 300 °C.
  • cobalt metal can be deposited on copper surfaces, while little or no cobalt is deposited on insulator surfaces.
  • a cobalt amidinate vapor and hydrogen gas are brought into contact with a heated substrate.
  • a thin, continuous layer of cobalt 40 is formed on the surface of the copper.
  • insulators 10 such as Si0 2 or SiCOH, little or no cobalt is deposited.
  • the temperature of the heated surface can be in a suitable range, typically 180 to 250 °C, or more preferably 200 to 220 °C.
  • a blanket insulator layer 50 is next deposited on the structure shown in Fig. 3 (either with or without planarization), as shown in Fig. 4. Note that the structure in Fig. 4 does not include the silicate layer 30 above insulating layer 10. Any of the methods known in the art may be used to make this insulator layer, including plasma-enhanced CVD or spin coating. Insulator compositions comprising Si and O may be used. In certain embodiments, insulator compositions comprising Si but which is substantially free of O, such as SiN, SiC, SiCN, and the like, may be used. In certain embodiments, insulator layers can be built up by deposition of several sub-layers of insulating material, each adding a specific functionality to the overall insulating layer.
  • a first insulating sub-layer 51 which enhances adhesion to the manganese-doped copper layer underneath it, such as a Si 3 N 4 , may be used.
  • sub-layer 51 may be substantially free of oxygen.
  • sublayer 51 that is substantially free of oxygen may enhance adhesion to the manganese-doped copper layer over than that obtained by adhesion of a sub-layer 51 which comprises oxygen.
  • an etch-stop sub-layer 52 such as silicon carbide, may be deposited on top of sub-layer 51. The etch-stop sub-layer 52 can help to define the proper depth for etching of the holes (vias).
  • the next insulating sub-layer 53 may be a porous dielectric with a very low dielectric constant (typically k less than about 2.5).
  • the final insulating sublayer 54 may be a denser non-porous dielectric with a higher dielectric constant (k greater than about 2.5), which can help to protect the more fragile porous dielectric layer from mechanical damage, as well as keeping water from entering into the pores of the porous dielectric.
  • sub-layers 53 and 54 may contain Si and O. Another function of the sublayer 53 may be as an etch-stop layer for defining the bottoms of trenches through the sub-layer 54.
  • any reference to insulating layer 50 in the present application should be understood to encompass one or more of the sub-layers described herein.
  • Lithography and etching are used to pattern holes (vias) 100 and trenches 110 into the insulator layer 50.
  • a schematic cross section of the resulting structure is shown in Fig. 5.
  • This structure is annealed to form a MnSi x N y layer 60 (assuming the use of Si 3 N 4 as sub-layer 51) at the interface between the insulating silica layer 50 and the CuMn alloy layer 40, as shown in Fig. 6.
  • the MnSi x N y layer 60 serves as a barrier against diffusion of Cu out of the layer 20 and also provides strong adhesion between the Cu 20 and the insulator 50.
  • the MnSi x N y can also serve to prevent diffusion of oxygen or water from the insulator layer 50 into the Cu layer 20.
  • Mn from the Mn-Cu alloy layer 40 is located in the MnSi x Ny layer 60; however, some Mn may migrate during anneal to the upper surface of the layer 20 to form a manganese oxide layer (not shown). Any manganese oxide remaining on the Cu surface may be removed by directional sputtering, or by selective etching by a vapor such as formic acid or by a liquid acid solution. This is indicated by the slight recession 65 between the upper surface of Cu layer 20 and adjacent MnSi x N y layer 60.
  • Another layer of Mn is deposited next, preferably by a conformal method such as CVD or ALD.
  • This step forms a layer 80 on the walls of the vias and trenches, which can vary from MnSi x O y near the top and MnSi x N y near the bottom if using silica as sub-layer 54 and silicon nitride as sub-layer 51.
  • This step can further form a top layer of MnSi x O y 90 on the upper surface of insulator layer 50, as shown in Fig. 7.
  • a CuMn alloy layer 70 forms initially on the exposed copper surface of layer 20, but then the Mn diffuses to form more of the insulator surfaces such as layer 60.
  • manganese nitride, MnN x may also be deposited on the exposed surfaces of vias 100 and trenches 110. In some other embodiments, manganese nitride, MnN x , may also be deposited on layers 70, 80 and 90. Surprisingly, the use of manganese nitride was found to provide at least five beneficial functions.
  • the manganese nitride can increase the strength of adhesion between the insulating material and subsequently-deposited copper.
  • the manganese nitride can serve as an effective barrier layer against diffusion of copper, oxygen, and water.
  • the manganese nitride can enhance capture and release of a surface catalyst, such as iodine or bromine, as discussed in greater detail below.
  • the manganese nitride is preferably deposited by a conformal method, such as CVD, ALD or ionized physical vapor deposition (IPVD).
  • CVD and ALD of MnN x can be accomplished at lower temperatures and at a higher rate than deposition of manganese metal. If it is desirable to use continuous and non-agglomerated manganese metal, it may be formed conveniently by removing nitrogen from manganese nitride, for example by the use of a hydrogen plasma.
  • a seed layer of Cu is formed, preferably by a conformal method such as CVD, ALD or IPVD. Then the vias and trenches are filled by electroplating to form the structure shown in Fig. 9. This pure Cu layer 120 is annealed to increase the grain size and reduce the resistance.
  • copper can be catalytically grown in vias and trenches, such as vias and trenches having a structure similar to that shown in FIG. 8, vias and trenches having the sidewalls and bottom surface deposited with a manganese containing layer, such as manganese nitride layer, or vias and trenches having an insulator surface, such as silica.
  • a manganese containing layer such as manganese nitride layer
  • an insulator surface such as silica.
  • the vias and trenches may be exposed to a manganese containing precursor to form a manganese containing layer.
  • a manganese containing layer e.g., through a vapor deposition technique such as CVD
  • iodine or bromine containing precursor such as ethyl iodide, methyl iodide, di-iodomethane molecular iodine (I 2 ), bromoethane, or molecular bromine (Br 2 )
  • I 2 di-iodomethane molecular iodine
  • bromoethane bromoethane
  • Br 2 molecular bromine
  • copper can be catalytically grown using a vapor deposition technique, such as CVD.
  • the iodine or bromine containing precursor may act as a catalyst for the growth of copper for the following reasons, which one of ordinary skill in the art would not have expected.
  • iodine catalyst less than a monolayer
  • the strong iodine -manganese bond may be desirable to allow iodine to attach to the Mn surface and initiate the subsequent growth of copper
  • the iodine attached to the manganese would not be able to float to the copper surface and thus would not be able to catalyze the copper deposition reactions on its surface.
  • the presence of other components, such as nitrogen in the manganese film might be able to weaken the manganese-iodine bonds by a sufficient amount so that the iodine can be released to the copper film.
  • the MnN x -I bonds nevertheless must also be strong enough to catch the iodine from the gas phase during the initial chemisorption of the iodine.
  • a copper layer can be formed using techniques such as CVD, ALD or IPVD.
  • manganese and copper containing precursors can be co-deposited, either as mixed precursors or separate precursors to form a copper-manganese alloy.
  • iodine/bromine containing precursors can be co-deposited, either as mixed precursors or separate precursors to form a copper-manganese alloy where the additional iodine/bromine can serve to ensure or even further promote the catalytic growth of the copper-manganese alloy.
  • electroplating of copper can be performed after deposition of copper or copper-manganese alloy described above.
  • the Cu or Cu-Mn alloy layer 120 can be annealed to increase the grain size and reduce the resistance.
  • vapor deposition is used to deposit a metal M selected from the group of Mn, Co, Cr and V.
  • R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are groups made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms.
  • a manganese amidinate vapor is brought into contact with a heated substrate.
  • the substrate is Cu
  • a CuMn alloy is formed.
  • the substrate contains silicon and oxygen, an insulating surface layer of MnSi x Oy is formed.
  • the temperature of the heated surface should be sufficiently high, typically over 150 °C, or preferably over 300 °C.
  • R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are groups made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-
  • R , R , R , R and R may be chosen
  • alkyl independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl, alkylamide or fluoroalkyl groups or other non-metal atoms or groups.
  • Exemplary hydrocarbon groups include Ci-C 6 alkyl, C 2 -C 6 alkenyl and C 2 -C 6 alkynyl groups. They can be branched or unbranched.
  • Alkyl group refers to a saturated hydrocarbon chain that may be a straight chain or branched chain or a cyclic hydrocarbon group, containing the indicated number of carbon atoms.
  • Ci-C 6 indicates that the group may have from 1 to 6 (inclusive) carbon atoms in it.
  • alkyl groups include, but are not limited to, ethyl, propyl, isopropyl, butyl, and tert-butyl groups.
  • cyclic alkyl groups include, but are not limited to, cyclopropyl, cyclopropylmethyl, cyclobutyl, cyclopentyl, cyclohexyl, cyclohexylmethyl, cyclohexylethyl, and cycloheptyl groups.
  • C 2 -C 6 alkenyl group refers to a straight or branched chain unsaturated hydrocarbon containing 2-6 carbon atoms and at least one double bond.
  • Examples of a C 2 -C 6 alkenyl group include, but are not limited to, groups derived by removing a hydrogen from ethylene, propylene, 1-butylene, 2-butylene, isobutylene, sec-butylene, 1-pentene, 2-pentene, isopentene, 1-hexene, 2-hexene, 3-hexene, and isohexene.
  • C 2 -C 6 alkynyl group refers to a straight or branched chain unsaturated hydrocarbon group containing 2-6 carbon atoms and at least one triple bond.
  • Examples of a C 2 -C 6 alkynyl group include, but are not limited to, groups derived by removing a hydrogen from acetylene, propyne, 1-butyne, 2-butyne, isobutyne, sec-butyne, 1-pentyne, 2-pentyne, isopentyne, 1-hexyne, 2-hexyne, and 3-hexyne.
  • Substituted hydrocarbon group refers to a saturated or unsaturated, straight or branched chain hydrocarbon containing 1-6 carbon atoms that can be further substituted with other functional groups, such as halogen or boron, or boron-containing groups.
  • Halogen refers to an atom of fluorine, chlorine, bromine, or iodine.
  • Halogenated hydrocarbons include fluorinated, chlorinated or brominated alkyl.
  • Exemplary fluorinated hydrocarbons include fluoroalkyl, fluoroalkenyl and fluoroalkynyl groups and combinations thereof.
  • Groups of non-metallic atoms include nitrogen-containing and silicon-containing groups.
  • Exemplary nitrogen-containing R groups include amines (NR'R"), in which R' and R" include one or more of H, Ci-C 6 alkyl, C 2 -C 6 alkenyl or C 2 -C 6 alkynyl group and combinations thereof.
  • Exemplary silicon-containing R groups include silyl groups (SiR'R"R' "), in which R', R" and R' " include one or more of H, Ci-C 6 alkyl, C 2 -C6 alkenyl or C 2 -C6 alkynyl group and combinations thereof.
  • R , R , R , R , R and R are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups.
  • the R n groups contain 1 to 4 carbon atoms.
  • the manganese amidinate may comprise manganese(II) bis(N,N'-diisopropylpentylamidinate), corresponding to taking R 1 , R 2 , R 1 and R 2 as isopropyl groups, and R 3 and R 3 as n-butyl groups in the general formula 1.
  • a CVD method bis(N,N'-diisopropylpentylamidinato)manganese(II) vapor is flowed over a surface that has been heated to a temperatures of 100 to 500 °C, or more preferably 150 to 400 °C.
  • a CuMn alloy is formed on the exposed copper surfaces.
  • a MnSi x O y layer is formed as a diffusion barrier over the insulating areas.
  • the manganese content of the MnSi x O y layer and the CuMn layer is equivalent to a manganese metal film with thickness of 1 to 10 nm, or more preferably a thickness of 2 to 5 nm.
  • the vapor is mixed with dihydrogen gas (H 2 ) at a temperature above 90 °C and used for the CVD process.
  • H 2 dihydrogen gas
  • Manganese amidinates may be made by any conventional method. See, e.g., WO 2004/046417, which is incorporated by reference in its entirety.
  • the metal precursor may include cyclopentadienyl and carbonyl ligands, corresponding to the general formula (Cp) q M r (CO) s where Cp is an cyclopentadienyl radical substituted by up to five groups, and q, r, and s can be any positive integer.
  • Cp is an cyclopentadienyl radical substituted by up to five groups, and q, r, and s can be any positive integer.
  • the Mn-containing precursor can be a manganese cyclopentadienyl tricarbonyl having the formula, (Cp)Mn(CO)3. Some of these compounds have a structure 2,
  • R 1 , R 2 , R 3 , R 4 , and R 5 groups are made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non- metallic atoms, as described herein above.
  • R 1 , R 2 , R 3 , R 4 , and R 5 may be chosen independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl or fluoroalkyl groups or other non-metal atoms or groups.
  • R 1 , R 2 , R 3 , R 4 and R 5 are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups.
  • the R n groups contain 1 to 4 carbon atoms.
  • a preferred compound of this type is commercially available methylcyclopentadienylmanganese tricarbonyl,
  • the metal precursor may include two Cp ligands, with formula M(Cp) 2 where Cp is a cyclopentadienyl radical substituted by up to five groups.
  • These compounds may have the following structure:
  • the Mn-containing precursor can be a manganese cyclopentadienyl having the formula, Mn(Cp) 2 . Some of these compounds have the formula 3,
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 1 , R 2 , R 3 , R 4 and R 5 are groups made from one or more non- metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms, as described herein above.
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 1' , R 2' , R 3' , R 4' and R 5 may be chosen independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl or fluoroalkyl groups or other non-metal atoms or groups.
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 1' , R 2' , R 3' , R 4' and R 5 are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups.
  • the R n groups contain 1 to 4 carbon atoms.
  • the manganese precursor bis(N,N'- diisopropylpentylamidinato)manganese(II) may be prepared as described in US Patent
  • Iodine precursors such as ethyl iodide or elemental I 2 are commercially available from many commercial sources.
  • a Cu layer (a seed layer or a full layer) may be deposited conformally by methods such as CVD or ALD.
  • ALD methods are described, for example, by Zhengwen Li, Antti Rahtu and Roy G. Gordon in the Journal of the Electrochemical Society, volume 153, pages C787- C794 (2006) and by Zhengwen Li and Roy G. Gordon in the journal Chemical Vapor
  • the copper precursor copper N,N'-di-sec-butylacetamidinate may be utilized, which can be prepared as described in Inorganic
  • copper compounds can also be used for the iodine-catalyzed CVD of copper, including copper beta-diketonates, copper beta-ketoiminates, copper diketiminates, copper cyclopentadienyls, copper alkoxides and copper aminoalkoxides.
  • these general classes include l,l,l,5,5,5-hexafluoro-2,4-pentadionato copper(I) vinyltrimethylsilane (sometimes known as (hfac)CuVTMS), 1,1,1, 5,5, 5-hexafluoro-2,4-pentadionato copper(I) (3,3- dimethyl-l -butene) (sometimes known as (hfac)CuDMB), bis(l, 1,1,5, 5,5-hexafluoro-2,4- pentadionato) copper(II) (sometimes known as Cu(hfac) 2 ), (N(l(dimethylvinylsiloxy)-l- methylethano)-2-imino-4-pentanoato)copper(I) (a copper ketominate),
  • Another suitabie copper precursor is the copper(I) beta-diketiminate whose formula is shown below:
  • electrochemical deposition can be used to fill the trenches and vias with copper by techniques known in the art. Electrochemical deposition may be able to provide pure copper without voids or seams in a cost-effective process.
  • the present invention has been described mainly with respect to Mn metal.
  • the present invention encompasses other metals, such as cobalt, vanadium and chromium metals as well. Accordingly, these metals can be interchanged with manganese for the descriptions provided herein.
  • the precursor described above can be a cobalt, chromium or vanadium amidinates having the structure, [Co(AMD) m ] n ,
  • This compound was synthesized by the following method. All reactions and manipulations were conducted under a pure dinitrogen atmosphere using either an inert atmosphere box or standard Schlenk techniques. All glassware was stored in an oven at 150 °C for over 12 h before carrying reactions. Diethyl ether was purified using an Innovative Technology solvent purification system, and was freshly used from the purification without any storage. Butyllithium (1.6 M in hexanes), N,N'-diisopropylcarbodiimide, and manganese(II) chloride (anhydrous beads) were purchased from Aldrich and used as received. Volume reduction and evaporation steps were performed in vacuo.
  • the liquid manganese precursor was evaporated at a temperature of 90 °C into a flow of highly purified nitrogen (concentrations of water and oxygen less than 10 "9 of the N 2 ).
  • the vapor pressure of the precursor is estimated to be around 0.1 mbar at this temperature.
  • the silica substrates were either thermally oxidized silicon or silica deposited by ALD or by plasma-enhanced CVD.
  • the CVD was carried out in a hot- wall tube reactor (diameter 36 mm) within a tube furnace at temperatures between 200 and 400 °C and a total pressure of about 5 Torr.
  • the flow rate of N 2 carrier gas was 60 seem.
  • the amount of manganese deposited was measured by Rutherford backscattering spectroscopy (RBS).
  • the MnSi x O y formation was evaluated by cross-sectional high-resolution transmission electron microscopy (HRTEM).
  • HRTEM transmission electron microscopy
  • the effectiveness of the MnSi x O y as a barrier to diffusion of Cu was tested in four ways: optical appearance, sheet resistance, Cu silicide formation and capacitance-voltage (CV) analysis of capacitors.
  • layers of Si0 2 8 nm thick were grown on HF-etched silicon wafers by ALD at 215 °C, followed by CVD Mn at 350 °C for 10 min, which deposited an amount of Mn metal equivalent to a Mn metal film 2.3 nm thick, which reacted with the silica surface to form a thicker MnSi x O y layer.
  • MnSi x O y layer shows that the CVD Mn metal diffused through the Cu layer and reacted with the Si0 2 to form an amorphous MnSi x O y layer about 2 ⁇ 5 nm thick between the Cu and the Si0 2 .
  • the MnSi x O y layer is thicker near grain boundaries in the Cu, along which Mn diffusion is faster. This result is clear evidence of Mn metal deposition.
  • the CVD Mn-treated samples did not show Cu by large-area ED AX.
  • a few small areas of the SEM image did show some Cu by EDAX, indicating some localized breakdown of the MnSi x O y barrier at 500 °C. These spots might arise from dust or other defects in the films, which were not processed in a clean-room environment.
  • MnSi x Oy layers were also found to be good barriers to oxygen and water, which can corrode copper layers.
  • metal silicate layers were coated with manganese as described above, followed by CVD copper.
  • the top surface of the copper was protected with 20 nm of ALD silica by the process described in Science, volume 298, pages 402 - 406 (2002).
  • the sample was cut into pieces to expose the edges of the low-k insulator so that oxygen or water vapor could diffuse into the low-k layer. After exposure to dry air at 300 °C for 24 hours, the sample maintained its shiny copper color.
  • TEM transmission electron microscope
  • Example 1 is repeated with manganese cyclopentadienyl tricarbonyl, MnCp(CO) 3 , in place of bis(bis(N,N'-diisopropyl-pentylamidinato)manganese(II). Similar results are obtained.
  • Example 1 is repeated with chromium in place of manganese. Similar results are obtained.
  • Example 1 is repeated with vanadium in place of manganese. Similar results are obtained.
  • the sheet resistance then returned to slightly less than 0.5 ohms per square because the manganese diffused to the surfaces or the interface.
  • the out-diffusion of the manganese from the Cu film was confirmed by SIMS analysis.
  • the adhesion energy was remarkably increased to greater than 12 J m ⁇ 2 , because manganese diffused to the interface, and made an interface or reaction layer.
  • the adhesion energy was greater than the 10.1 + 1 J m "2 obtained in Example 1.
  • the Mn capping process is able to maintain the insulation between Cu lines.
  • comb test structures were prepared with long ( ⁇ 4 cm) parallel Cu interconnects separated by Si0 2 -based insulating lines 70 nm wide. The upper surfaces were prepared by chemical-mechanical polishing to be substantially flat. The leakage current between the lines was less than 10 "12 amperes when measured at 2 volts. After CVD of Mn as in Example 1 for 5 minutes and PECVD of 20 nm S1 3 N 4 , the leakage current remained at this low base-line level. The resistance along the length of the lines decreased slightly from its initial value, possibly because of growth in the size of the copper grains during the CVD processes.
  • SiCOH silicon carbide oxide
  • the manganese precursor bis(N,N'-diisopropylpentylamidinato)manganese(II) was evaporated from the liquid in a bubbler at a temperature of 90 °C into a 60 seem flow of highly purified nitrogen
  • This vapor mixture was mixed with 60 seem of purified hydrogen at a tee just prior to entering one end of a tubular reactor.
  • the reactor tube has an inner diameter of 36 mm.
  • a half cylinder of aluminum supported the substrates inside the reactor.
  • the pressure in the reactor was maintained at 5 Torr by a pressure sensor controlling a butterfly valve between the reactor and the vacuum pump. After the temperature was stabilized, the CVD vapor mixture was passed through the reactor for 20 minutes. Then the reactor was cooled down to room temperature and the samples removed for analysis.
  • this process has selectivity > 1000: 1 in favor of deposition on Cu versus deposition on these insulators.
  • the manganese content of the patterned samples was also measured by Energy- Dispersive Analysis by X-rays (ED AX) in a Scanning Electron Microscope (SEM). 5.08 atomic % manganese was found in the copper areas, whereas no manganese ( ⁇ 0.01 %) was detected on the insulating areas. According to the EDAX results, the selectivity is >500: 1.
  • X- ray Photoelectron Spectroscopy (XPS) also found manganese on copper, but no manganese on non-porous insulators, showing selectivity >100: 1 , as shown by the bottom curve in Fig. 19. Because RBS has the greatest sensitivity of these analytical methods, we conclude that the selectivity exceeds 1000: 1.
  • Example 8 A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reactions with bis(N,N- dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2 and (N,N-dimethylamino)trimethylsilane, (CH 3 ) 3 SiN(CH 3 ) 2 were omitted. About 3 x 10 15 manganese atoms per square centimeter were found on the surface of the insulator by RBS analysis. Although XPS does not count the atoms as quantitatively as RBS does, this amount of manganese was readily observed by XPS, as shown in the top curve in Fig. 19.
  • Example 8 A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reaction with bis(N,N-dimethylamino)dimethylsilane, (CH 3 ) 2 Si(N(CH 3 ) 2 ) 2 was omitted and only the reaction with (N,N- dimethylamino)trimethylsilane, (CH ) SiN(CH ) 2 , was carried out. Manganese was detected by XPS on the surface of the insulators, so complete selectivity was not obtained, as shown in the second curve from the top in Fig. 19.
  • Example 8 A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reaction with (N,N-dimethylamino)trimethylsilane, (CH 3 )3SiN(CH 3 ) 2 was omitted and only the reaction with bis(NN- dimethylamino)dimethylsilane, (CH 3 ) 2 Si(N(CH 3 ) 2 ) 2 , was carried out. Manganese was detected by XPS on the surface of the insulators, so complete selectivity was not obtained, as shown in the third curve from the top in Fig. 19.
  • Example 8 A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the flow of hydrogen, H 2 , was replaced by a flow of nitrogen, N 2 . XPS analysis showed the presence of manganese on the surfaces of insulators. The conclusion from comparative example 4 is that the presence of hydrogen during CVD aids in minimizing deposition of manganese on insulators during the stabilization of copper surfaces by CVD manganese.
  • Cobalt metal was deposited selectively by CVD on copper surfaces, while little or no cobalt was deposited on suitably pretreated insulator surfaces.
  • Substrates of copper and silica were first prepared by heating in purified hydrogen gas at 1 Torr for 1 hour at 250 °C, and then exposed to the silane vapors as described in Example 8.
  • Bis( V-tert-butyl-N'- ethylpropionamidinato)cobalt(II) was prepared as described in the paper "Synthesis and characterization of volatile liquid cobalt amidinates", published in Dalton Transactions of the Royal Society of Chemistry, pages 2592-2597 in 2008, which is incorporated by reference herein in its entirety.
  • This liquid cobalt precursor was placed in a bubbler at 85 °C, at which temperature it has a vapor pressure about 0.26 Torr. Its vapor was delivered to the CVD reactor by passing 60 seem of high purity N 2 gas through the bubbler. The co-reactant gas, H 2 , with a flow rate of 60 seem, was mixed with the precursor vapor stream just prior to entering the CVD reactor. The substrates were held at a temperature of 200 °C. Deposition for 20 minutes was sufficient to cover the copper surface completely with cobalt. The evidence for this coverage is that XPS showed only signals for cobalt, with no signals characteristic of copper. On the silica surface, no XPS signals for cobalt were detected, while the RBS analysis showed less than 10 14 cobalt atoms per square centimeter.
  • Plasma-enhanced silica layers on silicon were used as substrates for manganese deposition under conditions described in Example 1 to form MnSi x O y layers. Then CVD manganese nitride was deposited by reacting the same manganese precursor with ammonia at a partial pressure of 2 Torr and hydrogen at a partial pressure of 1 Torr, and a substrate temperature of 130 °C for 5 minutes, resulting in a coating with composition Mn 4 N about 2.5 nm thick. The root-mean-square surface roughness was measured by atomic force microscopy to be 0.3 nm, which is barely larger than that of the substrate, 0.2 nm. This result shows that the manganese nitride remains smooth and does not agglomerate at this low deposition
  • Manganese nitride was deposited as in Example 13. The manganese nitride layer was then reduced by hydrogen plasma at a substrate temperature just above room temperature (heated to about 50 °C by recombination of hydrogen atoms on the surface) to produce a smooth, non-agglomerated layer of manganese metal.
  • the manganese precursor was evaporated from the liquid in a bubbler at a temperature of 90°C into a 60 seem flow of highly purified nitrogen (concentrations of water and oxygen less than 10 "9 of N 2 ).
  • This vapor mixture was mixed with 60 seem of highly purified nitrogen and 60 seem of purified ammonia (NH 3 ) at a tee just before entering one end of a tubular reactor.
  • the reactor tube had an inner diameter of 36 mm.
  • a half-cylinder of aluminum supported the substrates inside the isothermal reactor.
  • the reactor temperature was controlled at 130°C and the total pressure in the reactor was maintained at 5 Torr by a pressure sensor controlling a butterfly valve between the reactor and the vacuum pump. Under these conditions, about 2.5 nm of manganese nitride film was deposited in 5 minutes.
  • Mn 4 N was deposited as in Example 15.
  • the Mn 4 N film was kept in the reactor in a flow of pure nitrogen while it was cooled to about 50 °C, in order to protect its surface from oxidation.
  • Ethyl iodide vapor (CH 3 CH 2 I, boiling point 72°C) was then used as an iodine source to adsorb iodine atoms onto the fresh surface of the manganese nitride film.
  • the ethyl iodide was contained in a bubbler at room temperature and its vapor was fed directly into the reactor at a partial pressure of 0.05 Torr for 30 seconds along with a nitrogen carrier gas at a flow rate of 100 seem and a total pressure of 0.5 Torr.
  • CVD copper was then deposited in the same reactor using copper precursor evaporated from the liquid in a bubbler at a temperature of 130°C into a 40 seem flow of highly purified nitrogen. Hydrogen (40 seem) was mixed with the copper precursor vapor just before entering the reactor held at a substrate temperature of 180°C and a total pressure of 5 Torr. Under these conditions, about 65 nm of copper was deposited in 40 minutes.
  • Fig. 21 shows that this process completely filled trenches less than 30 nm wide and over 150 nm deep with copper, with an aspect ratio over 5: 1. No seams or voids were seen along the centerline of the copper, suggesting that iodine pre-adsorbed on the M3 ⁇ 4N was released from the Mn 4 N and then catalyzed the bottom-up filling of these trenches as a surfactant floating on the growing surface of the copper.
  • Fig. 22 shows that after the deposition iodine is found only on the top surface of the copper by X-ray photoelectron spectroscopy (XPS).
  • XPS X-ray photoelectron spectroscopy
  • the samples were then annealed in a pure nitrogen atmosphere at 500°C for 1 hour. To see if copper had diffused into the silicon substrate, the Cu layers were dissolved in nitric acid, and the manganese nitride and silica were removed by dilute HF. The etched surfaces were then analyzed by an energy- dispersive X-ray spectrometer (EDX) and SEM with the results shown in Fig. 25.
  • EDX energy- dispersive X-ray spectrometer
  • SEM energy- dispersive X-ray spectrometer
  • the reference sample shows that the majority of its surface is covered by copper silicide crystallites, indicating copper has diffused through the thin silica layer.
  • the manganese nitride- treated sample does not show any Cu by large-area EDX, showing that MnN x or its reaction product with Si0 2 forms an effective barrier against diffusion of copper.
  • Example 16 was repeated, except that the CVD of MnN x was omitted. Thus ethyl iodide vapor was exposed to the bare Si0 2 surface, rather than to MnN x . Much less copper was deposited than in Example 16, and what copper was present was in the form of agglomerated grains, rather than as a conformal film or a filling of narrow trenches. This comparative result shows that Si0 2 is unable to chemisorb iodine and then release it to serve as a catalytic surfactant, as compared to the MnN x as shown in Example 16.
  • Example 16 was repeated, except that the first copper layer was grown only for 5 minutes. Then an additional step of iodine adsorption was applied to the fresh copper surface. Then additional CVD of Cu was carried out for 40 minutes. Similar results were found, with the difference that the total amount of copper deposited was 50 % larger than in Example 16, presumably because of the additional amount of iodine catalyst that was supplied.
  • Manganese nitride was first deposited at 130°C for 5 minutes to form 2.5 nm of film. Ethyl iodide was then introduced into the chamber at 50 °C for 30 seconds at a pressure of 0.05 Torr. Copper was then deposited at 180 °C for 5 minutes to form a continuous layer, and ethyl iodide vapors were again exposed to the Cu surface at 50 °C for 30 seconds.
  • Manganese and copper precursors were then alternatively carried into the chamber by 50 seem of nitrogen and mixed with 50 seem of hydrogen at a substrate temperature of 180 °C and a total pressure of 5 Torr. In one cycle, the manganese precursors were supplied for 3 minutes and the copper precursors were supplied for 5 minutes.
  • This cycle was repeated until the trenches were completely filled with a copper-manganese nanolaminate.
  • the Mn/Cu ratio was quantified by X-ray fluorescence (XRF) and was found to be approximately 0.5 atomic percent manganese.
  • XRF X-ray fluorescence
  • the Cu-Mn nanolaminate completely filled narrow trenches, as shown by the SEM in FIG. 26.
  • the iodine catalyst was found on the top of the nanolaminate surface by XPS, as shown in FIG. 27.
  • Example 18 was repeated up through the second iodine exposure. Then the Mn precursor vapors were carried by 60 seem of nitrogen and simultaneously the Cu precursor vapors were carried by 40 seem of nitrogen. These precursor vapor flows were mixed together with 100 seem of hydrogen at a temperature of 120°C and a pressure of 5 Torr. This gas mixture flowed into the deposition zone heated to 180 °C for 45 minutes. The trenches were completely filled with a copper-manganese alloy, as shown in Fig. 28, and the tops of the trenches were covered by about 180 nm of Cu-Mn alloy. The Mn/Cu ratio in the alloy was quantified by XRF and was found to be approximately 0.4 atomic percent manganese and 99.6 copper. The iodine catalyst was found on the top surface of the copper-manganese alloy by XPS, as shown in FIG. 29.
  • the Mn precursor is dissolved at a concentration of 0.5 M in an inert solvent, 1- methylnaphthalene, the solution is vaporized by a direct liquid injection system, mixed with ammonia gas, and flowed into a reactor to form a MnN x layer.
  • the surface of the MnN x is then exposed to ethyl iodide as in Example 16.
  • the Cu precursor is dissolved at a concentration of 1 M in an inert solvent, 1-methylnaphthalene, the solution is vaporized by a direct liquid injection system, mixed with hydrogen gas, and flowed into the reactor to form a thin Cu layer.
  • the surface of the Cu is then exposed to ethyl iodide as in Example 18.
  • separately measured and controlled flows of Cu and Mn precursor solution are simultaneously vaporized in a DLI system, and the resulting mixed vapors, along with the solvent vapor and hydrogen gas, are introduced into the CVD reactor. Results similar to Example 19 are obtained.
  • Example 20 is repeated, except that the Mn and Cu precursors are dissolved together in an inert solvent, 1-methylnaphthalene, and the solution is vaporized in a direct liquid injection system.
  • the mixed precursor vapors, along with the solvent vapor and hydrogen gas, are then introduced into the CVD reactor during the last deposition step, co- deposition of a Cu-Mn alloy. Results similar to Examples 19 and 20 are obtained.
  • the 1- methylnaphthalene used in Examples 20 and 21 may be replaced by other inert solvents with high boiling points, such as diethyl benzene, tetrahydronaphthalene and pristane.
  • Example 16 was repeated using substrates of various plastics that are stable up to the deposition temperature of 180 °C. Prior to the deposition, the surfaces of the plastics were cleaned and oxidized by exposure to light from a mercury lamp with a quartz envelope in ambient air for 5 minutes. After deposition, the surfaces of the plastics were covered by electrically conductive copper films with sheet resistance around 0.5 ohms per square. The smooth surface of a polyimide plastic sheet remained smooth, as shown in Fig. 30. The rough surface of a fiberglass-reinforced circuit board was covered conformally, as shown in Fig. 31. The copper adhered strongly to the plastics, and could not be removed by a tape test.
  • CVD in accordance with one or more of the previous examples can be used to form a thin layer comprising Mn and Cu with a small amount of I on the surface.
  • the thin layer comprising Mn, Cu, and I can serve as a seed layer for electroplating a thicker layer of Cu.
  • the CVD steps may fill the narrow trenches, while conformally coating the wider trenches. Subsequent electroplating can then fill the wider trenches economically.
  • iodine (much less than a monolayer) is attached to the copper surface at the beginning of the electroplating step of Example 23. There is a possibility that this iodine could dissolve in the copper plating bath and contaminate it. Alternatively, the iodine might remain under the plated copper and cause corrosion or reliability problems later.
  • a CVD MnN x -CVD Cu-Mn sample was prepared as in Example 19. The sample was then placed into a solution of 30% hydrogen peroxide-70% water for 1 minute at room temperature. It was then rinsed in isopropanol and dried. Examination of the surface by XPS showed that no iodine remained on the surface. Other oxidizing agents, such as sodium hypochlorite or sodium hypobromite, may be substituted for the hydrogen peroxide, in order to remove the iodine from the copper surface.
  • a CVD MnN x -CVD Cu-Mn sample was prepared as in Example 19. The sample was then placed in a reactive ion-etch system. It was first treated by an oxygen plasma with 150 watt microwave power and 50 watt RF power at 10 mTorr pressure for 30 seconds at room temperature. It was then treated by a hydrogen plasma with 150 watt microwave power and 50 watt RF power at 10 mTorr pressure for 3 minutes at room temperature. Examination of the surface by XPS showed that no iodine remained on the surface.
  • Example 19 is repeated, except that after the second iodine exposure, the plasma treatment of Example 25 is applied to remove the iodine catalyst from the upper flat surface of the substrate.
  • the plasma process is limited to a time short enough so that iodine is not removed from the sides and bottoms of the narrow trenches.
  • the remaining superfill of the trenches is completed by iodine-catalyzed CVD of copper-manganese alloy. Only a small amount of copper-manganese alloy forms on the top surface, along with some bumps over the trenches. This small overburden of copper-manganese alloy is readily removed by a short CMP process.
  • Example 26 is repeated, except that the oxygen plasma and the hydrogen plasma are applied for a longer time, so that the iodine is removed from the upper sidewalls of very narrow trenches, as well as from the flat top surfaces between the trenches. Trenches with aspect ratios higher than 10: 1 are filled without voids or seams.
  • the iodine catalyst is removed from most of the sidewalls of very narrow trenches, and in addition the nucleation rate of copper is suppressed on the upper parts of the sidewalls and the tops of the trenches, then extremely narrow trenches can be filled without voids or seams.
  • One approach to suppressing the nucleation of copper is to react the copper (and manganese, if present) on the upper sidewalls with a reactant vapor, such as an alkanethiol. Once an alkanethiol is chemisorbed on the surface of the copper, applicants have found that further growth of copper by CVD is greatly retarded or even eliminated. Use of iodine removal followed by inactivation of the copper surface on the sidewalls is illustrated by Example 28.
  • Example 27 is repeated using substrates having with very narrow trenches with aspect ratio greater than 20:1. Following the plasma-activated removal of the iodine from most of the trench walls, the surface is exposed briefly to a small amount of vapor of octanethiol. Subsequently, CVD copper-manganese is continued with the benefit of iodine catalyst from the bottom and lower sidewalls of the trenches. The trenches are filled with copper-manganese alloy without any voids or seams.

Abstract

An interconnect structure for integrated circuits for copper wires in integrated circuits and methods for making the same are provided. Mn, Cr, or V containing layer forms a barrier against copper diffusing out of the wires, thereby protecting the insulator from premature breakdown, and protecting transistors from degradation by copper. The Mn, Cr, or V containing layer also promotes strong adhesion between copper and insulators, thus preserving the mechanical integrity of the devices during manufacture and use, as well as protecting against failure by electromigration of the copper during use of the devices and protecting the copper from corrosion by oxygen or water from its surroundings. In forming such integrated circuits, certain embodiments of the invention provide methods to selectively deposit Mn, Cr, V, or Co on the copper surfaces while reducing or even preventing deposition of Mn, Cr, V, or Co on insulator surfaces. Catalytic deposition of copper using a Mn, Cr, or V containing precursor and an iodine or bromine containing precursor is also provided.

Description

SELF-ALIGNED BARRIER AND CAPPING LAYERS FOR INTERCONNECTS
RELATED APPLICATIONS
[0001] This patent disclosure claims the benefit of the earlier filing dates of U.S. Patent Application No. 61/254,601, filed on Oct. 23, 2009, and U.S. Patent Application No.
61/385,868, filed on September 23, 2010, the contents of which are hereby incorporated by reference herein in their entireties.
[0002] This patent disclosure is related to U.S. Patent Application No. 12/408,473, filed on March 20, 2009, which claims the benefit of the filing date of U.S. Patent Application No. 61/038,657, filed on March 21, 2008, U.S. Patent Application No. 61/043,236, filed on April 8, 2008, and U.S. Patent Application No. 61/074,467, filed on June 20, 2008, the contents of which are hereby incorporated by reference herein in their entireties.
COPYRIGHT NOTICE
[0003] This patent disclosure may contain material that is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure as it appears in the U.S. Patent and Trademark Office patent file or records, but otherwise reserves any and all copyright rights.
INCORPORATION BY REFERENCE
[0004] All patents, patent applications and publications cited herein are hereby
incorporated by reference in their entirety in order to more fully describe the state of the art as known to those skilled therein as of the date of the invention described herein.
BACKGROUND
[0005] Copper (Cu) is replacing aluminum as the material of choice for wiring of microelectronic devices, such as microprocessors and memories. However, the presence of copper in semiconductors such as silicon causes defects that can prevent the proper functioning of transistors formed in the semiconductor. Copper also increases the leakage of current through insulators, such as silicon dioxide, placed between the copper wires. Therefore use of copper wiring demands that efficient diffusion barriers surround the copper wires, to keep the copper confined to its proper locations. [0006] While many efforts at providing diffusion barrier layers around copper have been attempted, they all suffer from some form of disadvantage. Disadvantages include
unacceptably high dielectric constant (such as SiC or S13N4) leading to increased capacitances lowering the speed with which signals can be transmitted through the copper wiring, difficulties in processing (such as electroless deposition of CoWP or CoWB) leading to electrical shorts over insulators between copper wires, increased resistance of copper through incorporation of other materials (such as CoWP, CoWB, or Mn) used to form the barrier layers, increased resistance of copper through restriction of the copper grain growth during anneal caused by presence of impurities (such as Mn), poor adhesion of the barrier layer (such as MnOx) to copper, and the like.
[0007] Other efforts have focused on growth of the copper layer, such as growth of copper in narrow trenches and holes (also called vias) on top of barrier layers. To this effect, iodine has been proposed as a suitable catalyst in growing copper using a CVD technique. However, because iodine does not readily adhere to the barrier layers (such as TaN and TiN), a thin copper seeding layer or activation of the barrier layer with plasma pretreatment is needed within the trenches and holes, which has been extremely difficult to perform.
SUMMARY
[0008] This technology relates to copper interconnections used in microelectronics, and more particularly relates to materials and techniques to secure robust adhesion between the copper and the surrounding materials, providing barriers to prevent diffusion of copper out of the wiring, keeping oxygen and water from diffusing into the copper, and keeping the copper wires from being damaged by the electric current that they carry.
[0009] A process is described for forming a self-aligned diffusion barrier in
microelectronic devices without the disadvantage of having a metallic impurity present in the Cu during or after the anneal. In one embodiment a metal such as Mn, Co, Cr or V is reacted with the surfaces of the insulator prior to deposition of a Cu-containing seed layer. In certain embodiments, the Mn, Co, Cr or V is delivered to the surfaces by a conformal chemical vapor deposition (CVD) process that does not involve the use of any oxygen-containing co-reactant along with the precursor for Mn, Co, Cr or V.
[0010] In certain embodiments, the CVD process may further comprise the use of a nitrogen-containing co-reactant, such as ammonia, thereby incorporating an electrically conductive metal nitride on or near the surfaces exposed to the vapors. The presence of metal nitride, such as manganese nitride, has been found to increase the adhesion to subsequently- deposited copper layers.
[0011] According to certain embodiments of the invention, this process does not increase via resistance by formation of barriers at the bottoms of the vias. Following the metal and/or metal-nitride-producing reaction, a Cu seed layer is deposited, preferably by CVD. The seed layer can also be deposited as a copper compound, such as copper oxide (Cu20), copper nitride (Cu3N) or copper oxynitride (CuOzNw), which is later reduced to Cu.
[0012] In another aspect of the invention, Mn, Co, Cr or V is deposited on the planar surface of a partially completed interconnect just after a CMP step (i.e., a planarized structure). On the top of the insulating portions of the surface, the Mn, Co, Cr or V reacts with silicon and oxygen contained in the insulator to form an insulating metal silicate layer, e.g., a MnSixOy layer where the metal is Mn. In the region where the metal Mn is deposited on the tops of the Cu lines (the tops of the trenches filled with Cu), the Mn dissolves into the top layers of the Cu to form a Cu-Mn alloy. Then a blanket deposition of the insulator for the next higher level of insulator is formed over both the Cu-Mn and MnSixOy regions. During the deposition and/or during later anneals, the Mn in the Cu-Mn surface layer diffuses upward to react with the insulator to form a MnSixNy diffusion barrier between the Cu and the insulator, in the embodiment in which the initially-deposited part of this insulator is Si3N4. The presence of this MnSixNy layer also increases the adhesion between the Cu and the insulator above it.
[0013] Strongly adherent diffusion barrier and adhesion layers that surround the Cu on all of its surfaces can be obtained. The MnSixOy and MnSixNy layers provide highly conductive, strongly adherent and durable copper layers for, e.g., the production of electronic elements, circuits, devices, and systems.
[0014] In another aspect of the invention, Mn, Co, Cr or V is deposited selectively only on the metallic areas of the planar surface of a partially completed interconnect just after a CMP step (i.e., a planarized structure). At the same time little or no Mn, Co, Cr or V is deposited on nearby surfaces of insulators. The process increases the adhesion of copper to subsequently- deposited insulators while maintaining very low electrical leakage across insulators between neighboring copper conductors. This process increases the lifetime of copper interconnects before they fail due to electromigration.
[0015] In certain embodiments, the present application describes a process for forming an integrated circuit interconnect structure. The process comprises: providing a partially- completed interconnect structure that includes an electrically insulating region and an electrically conductive copper-containing region, the partially-completed interconnect structure having a substantially planar surface; depositing a metal (M) selected from the group consisting of manganese, chromium and vanadium on or into at least a portion of the electrically conductive copper-containing regions; depositing an insulating film on at least a part of the deposited metal, wherein the region of the deposited insulating film in contact with said at least a part of the deposited metal is substantially free of oxygen; and reacting at least a part of the deposited metal with the insulating film to form a barrier layer, wherein the electrically conductive copper-containing region is substantially free of elemental metal (M).
[0016] In other embodiments, the process includes: providing a partially-completed interconnect structure having a via or a trench, the via or trench including sidewalls defined by one or more electrically insulating materials and an electrically conductive copper-containing bottom region; depositing a metal (M) selected from the group consisting of manganese, chromium and vanadium on the partially-completed interconnect structure; forming second insulating sidewall regions through reaction of the deposited metal and said one or more electrically insulating materials; removing or diffusing away the metal from the bottom region to expose the electrically conductive copper-containing bottom region; and filling the via or trench with copper.
[0017] In other embodiments, the manganese may be replaced by chromium or vanadium.
[0018] In certain embodiments, a process is provided for the bottom-up filling of trenches or holes with copper or copper manganese alloy by a CVD method using manganese nitride as a underlayer and iodine as a surfactant catalyst. The copper or copper manganese alloy is deposited with a CVD method using appropriate vapor sources. Conformal deposition in sub- 100 nm trenches can be achieved. Conformally deposited manganese nitride films show barrier properties against Cu diffusion and enhance adhesion between Cu and dielectric insulators. Release of adsorbed iodine atoms from the surface of manganese nitride films allows iodine to act as a surfactant catalyst floating on the surface of a growing copper layer. As the copper layer grows, iodine is continually released from the deposition interface to 'float' to the top of the growing copper layer and to serve as a surface catalyst for the next layer of copper to be deposited. As a result, void-free bottom-up filling of CVD of pure copper or copper- manganese alloy has been achieved in trenches narrower than 20 nm with aspect ratios over 9: 1. Upon post-annealing, manganese in the alloy diffuses out from copper through the grain boundaries and forms a self-aligned layer to further improve adhesion and barrier properties at the copper/insulator interface. This process provides nanoscale interconnects for microelectronic devices with higher speeds and longer lifetimes.
[0019] Materials and techniques are provided to secure robust adhesion between the copper and the surrounding materials, to form barriers to prevent diffusion of copper out of the wiring, to prevent oxygen and water from corroding the copper, and to keep the copper wires from being damaged by the electric current that they carry.
[0020] In one embodiment, a partially completed interconnect structure having open trenches (that will contain wires) and holes (vias that will connect one level of wires with wires already formed) can be subjected to one or more CVD processes. CVD can be used to deposit manganese on the walls of the trenches and vias, as well as on exposed portions of any conductors already formed at the bottoms of the vias. Next, CVD can be used to chemisorb iodine onto the manganese-coated surfaces. Finally, CVD of copper can be carried out in such a way that iodine catalyzes the bottom-up filling of vias and trenches without any seams or voids.
[0021] In another embodiment, the a layer of manganese nitride (MnNx, 0<x<l) can be formed, followed by chemisorption of iodine on the manganese nitride, and followed by catalytically-enhanced CVD of void-free copper to fill the vias and trenches.
[0022] In other embodiments, a layer of manganese nitride (MnNx, 0<x<l) can be formed, followed by chemisorption of iodine and followed by catalytically-enhanced CVD of a thin layer of copper. Additional iodine can then be further chemisorbed onto the surface of this thin copper layer, followed by additional CVD of copper that may be even more efficiently catalyzed by the larger amount of iodine resulting from both chemisorption steps.
[0023] In still other embodiments, alternating steps of CVD manganese and CVD copper, resulting in filling of the trenches and vias with a copper-manganese nanolaminate can further be carried out. Heating this structure can permit diffusion of the manganese to nearby insulator surfaces, where it can increase the adhesion strength of the copper to the insulators and form a self-aligned barrier to diffusion of copper, water and oxygen. After the out-diffusion of manganese, the interconnect can become pure, highly conductive copper bonded strongly to the insulator surfaces by the manganese.
[0024] In other embodiments, after the initial manganese and iodine depositions, copper and manganese precursor vapors can simultaneously be introduced into the deposition zone of a CVD reactor, along with any necessary reducing agents, such as hydrogen, to deposit a void- free copper-manganese alloy. [0025] In alternate embodiments, CVD can be used to form a thin layer comprising Mn, I and Cu, which can serve as a seed layer for electroplating Cu.
[0026] Precursors suitable for CVD of manganese include manganese amidinates, such as manganese(II) bis(N,N'-dialklyamidinates), which can be reduced with hydrogen to give manganese metal, or reacted with ammonia to deposit manganese nitride at low temperatures and with dense nucleation on insulator surfaces.
[0027] Many precursors are known for CVD of copper metal. Copper amidinates, such as copper(I) N,N'-dialkylamidinate dimers, are particularly suitable because their high thermal stability and high reactivity permit pure copper to be deposited by hydrogen reduction at low temperatures with dense nucleation on iodine-covered manganese or manganese nitride surfaces.
[0028] Other features and advantages of the invention will be apparent from the following description and accompanying figures, and from the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0029] Fig. 1 is a schematic cross section of the top of a partially completed interconnect wiring structure in accordance with the invention, after a Chemical Mechanical Polishing (CMP) step.
[0030] Fig. 2 is the structure of Fig. 1 after a metal deposition.
[0031] Fig. 3 is the structure of Fig. 2 after removal of metal silicate.
[0032] Fig. 4 is the structure of Fig. 3 after a blanket insulator is deposited.
[0033] Fig. 5 is the structure of Fig. 4 after lithography and etching of vias and trenches in the insulator.
[0034] Fig. 6 is the structure of Fig. 5 after an anneal.
[0035] Fig. 7 is the structure of Fig. 6 after another metal deposition.
[0036] Fig. 8 is the structure of Fig. 7 after an anneal.
[0037] Fig. 9 is the structure of Fig. 8 after seed layer deposition and filling with copper.
[0038] Fig. 10 is the structure of Fig. 9 after Chemical Mechanical Polishing.
[0039] Fig. 11 is a cross-sectional high-resolution transmission micrograph of the result of CVD Mn on a Cu / Si02 /Si substrate.
[0040] Fig. 12 is a scanning electron micrograph of (a) Cu/Si02/Si and (b) Cu/MnSixOy/Si after annealing at 500 °C and etching off the Cu, along with elemental analyses of the surfaces. [0041] Fig. 13 shows capacitance-voltage curves for samples of (a) Cu/Si02/Si and (b) Cu/MnSixOy/Si02/Si before and after annealing at 400 °C.
[0042] Fig. 14 shows capacitance-voltage curves for samples of (a) Cu/Si02/Si and (b) Cu/MnSixOy/Si02/Si before and after annealing at 250 °C under a 1 MV/cm electric field.
[0043] Fig. 15 shows a cross-section of a MnSixOy layer formed by CVD on a low-k insulator.
[0044] Fig. 16 shows the Rutherford Backscattering spectra (RBS) of a copper surface and a Si02 surface, each of which was exposed to the same CVD conditions, which deposited 8 nm of manganese only on the copper, while depositing no manganese on the Si02.
[0045] Fig. 17 shows the distribution of manganese near the surface of a copper layer that had been exposed to CVD of manganese.
[0046] Fig. 18 shows a plot of the adhesion energy of a copper-manganese alloy to silicon- containing insulators as a function of the manganese to silicon ratio at the interface.
[0047] Fig. 19 shows X-ray Photoelectron Spectra of insulator surfaces subject to CVD manganese with the inventive process along with less selective processes.
[0048] Fig. 20 is a scanning electron micrograph (SEM) of narrow holes lined with MnNx in accordance with certain embodiments.
[0049] Fig. 21 is a transmission electron micrograph (TEM) of narrow trenches lined with MnNx and filled with Cu in accordance with certain embodiments.
[0050] Fig. 22 shows X-ray photoelectron spectra (XPS) showing that iodine remains on the surface of the copper throughout the deposition of copper.
[0051] Fig. 23 is a scanning electron micrograph of narrow trenches lined with MnNx and filled with Cu in accordance with certain embodiments.
[0052] Fig. 24 shows a trench partly filled by iodine-catalyzed CVD of copper on an MnNx lined trench.
[0053] Fig. 25 shows SEM and energy-dispersive X-ray analysis (EDX) data showing that MnNx is a barrier to diffusion of copper.
[0054] Fig. 26 is a SEM of narrow trenches lined with MnNx and filled with a Cu-Mn nanolaminate in accordance with certain embodiments.
[0055] Fig. 27 shows that iodine remains on the surface during deposition of a copper- manganese nanolaminate.
[0056] Fig. 28 is a SEM of narrow trenches lined with MnNx and filled with a Cu-Mn alloy in accordance with certain embodiments. [0057] Fig. 29 shows that iodine remains on the surface during deposition of a copper- manganese alloy.
[0058] Fig. 30 is an SEM of polyimide plastic coated with MnNx and Cu in accordance with certain embodiments.
[0059] Fig. 31 is an SEM of plastic circuit board material coated with MnNx and Cu in accordance with certain embodiments.
DETAILED DESCRIPTION OF THE INVENTION
[0060] A partially completed multi-level wiring structure for a microelectronic device is shown in Fig. 1. This structure comprises a substantially planar surface comprising insulating areas 10, e.g., silica, and electrically conducting areas 20, e.g., copper, forming the top of a completed lower level of wiring, separated by a diffusion barrier 25. In some embodiments, this diffusion barrier can comprise manganese silicate. Typically, the device at this stage has been processed by CMP followed by cleaning. It should be noted that although the discussion exemplifies the invention using Mn, other metal precursors that contain, for example, Co, Cr, or V, can just as easily be carried used.
[0061] Next, as shown in Fig. 2, Mn (or Co, or Cr, or V) metal is deposited on the surface. The Mn reacts with the exposed areas of the insulator 10 to form an insulating MnSixOy layer marked 30 in Fig. 2. In the exposed Cu areas of the surface 20, the Mn diffuses into the upper portion of the Cu to form a CuMn alloy 40. The location of the upper surface prior to deposition is indicated by arrows 45, 45'. Typically, Mn is deposited on a heated substrate. If the temperature of the substrate is high enough (typically over 150 °C) and the deposition of Mn is slow enough, then the reaction and diffusion of the Mn may be complete by the end of the deposition. If the reaction with the insulator and the diffusion into the Cu are not complete during deposition, then a post-deposition anneal may be used to complete the reaction and diffusion.
[0062] Mn may be deposited by any convenient method, including chemical and physical methods. Chemical methods include chemical vapor deposition (CVD) and atomic layer deposition (ALD). Physical methods include sputtering and evaporation. Because the substrate is planar, step coverage by the deposition method is not critical to this step. Thus physical methods, which have poor step coverage, are adequate for this deposition step. CVD can also be used in this step whether or not the specific CVD process has good step coverage. [0063] The MnSixOy layer 30 can optionally be removed after Mn deposition, as is shown in Fig. 3. The MnSixOy layer 30 formed in the last step is an electrical insulator, but its leakage current may be higher than desired in some applications. In such cases, this metal silicate layer 30 may be removed, in order to reduce the leakage current in devices. The silicate layer 30 may be removed by any convenient means, such as polishing, wet etching or dry etching. The removal may be non-selective, removing copper at the same rate as the silicate, thereby maintaining a flat surface. Alternatively, the silicate layer 30 may be removed selectively without removing copper, as is illustrated in Fig. 3. The resulting uneven surface requires a conformal method to deposit the blanket insulator in the next step.
[0064] Alternatively, rather than depositing Mn (or Co, or Cr, or V) on both the insulating and conductive surfaces of Fig. 1, the surfaces can be pretreated to selectively deposit manganese on the copper surfaces. As used herein, "selective deposition" refers to preferential deposition of a material onto one surface while little or no deposition occurs on a different surface. Accordingly, the surface can be pretreated to preferentially deposit manganese on the copper surface and to reduce or eliminate deposition of manganese on the insulator areas). Reactive sites on the insulator surface can be deactivated using protecting agents prior to the CVD of manganese. This deactivation can be accomplished by reaction of the insulator surface with alkylsilane compounds either in the vapor phase or in solution. For example, effective deactivating compounds comprise dialkylamide groups bonded to silicon, such as bis(NN- dialkylamino)dialkylsilanes and N,N-dialkylaminotrialkylsilanes. Exemplary reagents of these two types include bis(A ,N-dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2, and (N,N- dimethylamino)trimethylsilane, (CH3)3SiN(CH3)2.
[0065] In certain embodiments, the deactivation can be accomplished by reaction of the insulator surface with two or more alkylsilane compounds either in the vapor phase or in solution to synergistically reduce reactivity of the insulating surfaces. As used herein,
"synergistic" means that the use of the two or more protecting agents leads to a greater deactivation effect as compared to the deactivation effect obtained by use of the individual protecting agents.
[0066] In certain embodiments, complete prevention of manganese or cobalt deposition on the insulators has been achieved by the sequential exposure of an insulator surface to a bis(NN- dialkylamino)dialkylsilane and then to a N,N-dialkylaminotrialkylsilane. Under the same reaction conditions, it has been discovered that neither of these types of deactivating compounds reacts with a clean, oxide-free copper surface. Thus CVD of manganese or cobalt on copper surfaces is not prevented by these reagents.
[0067] Thereafter, a manganese amidinate vapor and hydrogen gas are brought into contact with a heated substrate. On parts of the substrate surface that are composed of copper 20, a thin, continuous layer of copper-manganese alloy 40 is formed near the surface of the copper. On parts of the substrate surface made of insulators 10, such as Si02 or SiCOH, little or no manganese is deposited. In certain embodiments, the temperature of the heated surface can be in a suitable range, typically 200 to 350 °C, or more preferably 250 to 300 °C.
[0068] As noted above, other metals, such as Co, Cr, or V, can be selectively deposited over the copper surfaces. For example, cobalt metal can be deposited on copper surfaces, while little or no cobalt is deposited on insulator surfaces. In such embodiments, a cobalt amidinate vapor and hydrogen gas are brought into contact with a heated substrate. On parts of the substrate surface that are composed of copper 20, a thin, continuous layer of cobalt 40 is formed on the surface of the copper. On parts of the substrate surface made of insulators 10, such as Si02 or SiCOH, little or no cobalt is deposited. In certain embodiments, the temperature of the heated surface can be in a suitable range, typically 180 to 250 °C, or more preferably 200 to 220 °C.
[0069] A blanket insulator layer 50 is next deposited on the structure shown in Fig. 3 (either with or without planarization), as shown in Fig. 4. Note that the structure in Fig. 4 does not include the silicate layer 30 above insulating layer 10. Any of the methods known in the art may be used to make this insulator layer, including plasma-enhanced CVD or spin coating. Insulator compositions comprising Si and O may be used. In certain embodiments, insulator compositions comprising Si but which is substantially free of O, such as SiN, SiC, SiCN, and the like, may be used. In certain embodiments, insulator layers can be built up by deposition of several sub-layers of insulating material, each adding a specific functionality to the overall insulating layer. For example, a first insulating sub-layer 51 which enhances adhesion to the manganese-doped copper layer underneath it, such as a Si3N4, may be used. In certain embodiments, sub-layer 51 may be substantially free of oxygen. In certain embodiments, sublayer 51 that is substantially free of oxygen may enhance adhesion to the manganese-doped copper layer over than that obtained by adhesion of a sub-layer 51 which comprises oxygen. Next an etch-stop sub-layer 52, such as silicon carbide, may be deposited on top of sub-layer 51. The etch-stop sub-layer 52 can help to define the proper depth for etching of the holes (vias). In certain embodiments, the next insulating sub-layer 53 may be a porous dielectric with a very low dielectric constant (typically k less than about 2.5). The final insulating sublayer 54 may be a denser non-porous dielectric with a higher dielectric constant (k greater than about 2.5), which can help to protect the more fragile porous dielectric layer from mechanical damage, as well as keeping water from entering into the pores of the porous dielectric. In certain embodiments, sub-layers 53 and 54 may contain Si and O. Another function of the sublayer 53 may be as an etch-stop layer for defining the bottoms of trenches through the sub-layer 54. As would be readily apparent to one of ordinary skill in the art, numerous variations for the specific insulator layer 50 (such as thickness, layer combinations, material compositions, etc.) are within the scope of the present invention. For simplicity, any reference to insulating layer 50 in the present application should be understood to encompass one or more of the sub-layers described herein.
[0070] Lithography and etching are used to pattern holes (vias) 100 and trenches 110 into the insulator layer 50. A schematic cross section of the resulting structure is shown in Fig. 5.
[0071] This structure is annealed to form a MnSixNy layer 60 (assuming the use of Si3N4 as sub-layer 51) at the interface between the insulating silica layer 50 and the CuMn alloy layer 40, as shown in Fig. 6. The MnSixNy layer 60 serves as a barrier against diffusion of Cu out of the layer 20 and also provides strong adhesion between the Cu 20 and the insulator 50. The MnSixNy can also serve to prevent diffusion of oxygen or water from the insulator layer 50 into the Cu layer 20. After anneal, most of the Mn from the Mn-Cu alloy layer 40 is located in the MnSixNy layer 60; however, some Mn may migrate during anneal to the upper surface of the layer 20 to form a manganese oxide layer (not shown). Any manganese oxide remaining on the Cu surface may be removed by directional sputtering, or by selective etching by a vapor such as formic acid or by a liquid acid solution. This is indicated by the slight recession 65 between the upper surface of Cu layer 20 and adjacent MnSixNy layer 60.
[0072] Another layer of Mn is deposited next, preferably by a conformal method such as CVD or ALD. This step forms a layer 80 on the walls of the vias and trenches, which can vary from MnSixOy near the top and MnSixNy near the bottom if using silica as sub-layer 54 and silicon nitride as sub-layer 51. This step can further form a top layer of MnSixOy 90 on the upper surface of insulator layer 50, as shown in Fig. 7. A CuMn alloy layer 70 forms initially on the exposed copper surface of layer 20, but then the Mn diffuses to form more of the insulator surfaces such as layer 60. If the formation of these layers is not complete by the end of the deposition, an additional anneal and possibly an acid etch is used to form the structure shown in Fig. 8, in which the copper 20 layer is substantially free of Mn impurity. [0073] In certain embodiments, manganese nitride, MnNx, may also be deposited on the exposed surfaces of vias 100 and trenches 110. In some other embodiments, manganese nitride, MnNx, may also be deposited on layers 70, 80 and 90. Surprisingly, the use of manganese nitride was found to provide at least five beneficial functions. First, the manganese nitride can increase the strength of adhesion between the insulating material and subsequently- deposited copper. Second, the manganese nitride can serve as an effective barrier layer against diffusion of copper, oxygen, and water. Third, the manganese nitride can enhance capture and release of a surface catalyst, such as iodine or bromine, as discussed in greater detail below. These three benefits of MnNx are similar to those conferred by manganese metal. As an additional fourth benefit, manganese nitride deposits more continuously and uniformly over a surface than pure manganese metal does, because we discovered that MnNx is more resistant to agglomeration than Mn is. The manganese nitride is preferably deposited by a conformal method, such as CVD, ALD or ionized physical vapor deposition (IPVD). Fifth, we found that CVD and ALD of MnNx can be accomplished at lower temperatures and at a higher rate than deposition of manganese metal. If it is desirable to use continuous and non-agglomerated manganese metal, it may be formed conveniently by removing nitrogen from manganese nitride, for example by the use of a hydrogen plasma.
[0074] Next, a seed layer of Cu is formed, preferably by a conformal method such as CVD, ALD or IPVD. Then the vias and trenches are filled by electroplating to form the structure shown in Fig. 9. This pure Cu layer 120 is annealed to increase the grain size and reduce the resistance.
[0075] In certain alternative embodiments, copper can be catalytically grown in vias and trenches, such as vias and trenches having a structure similar to that shown in FIG. 8, vias and trenches having the sidewalls and bottom surface deposited with a manganese containing layer, such as manganese nitride layer, or vias and trenches having an insulator surface, such as silica.
[0076] In certain embodiments, the vias and trenches may be exposed to a manganese containing precursor to form a manganese containing layer. After the formation of a manganese containing layer (e.g., through a vapor deposition technique such as CVD), iodine or bromine containing precursor, such as ethyl iodide, methyl iodide, di-iodomethane molecular iodine (I2), bromoethane, or molecular bromine (Br2), can be introduced to adsorb or chemisorb onto the manganese containing surfaces. Thereafter, copper can be catalytically grown using a vapor deposition technique, such as CVD. [0077] Without wishing to be bound by theory, the iodine or bromine containing precursor may act as a catalyst for the growth of copper for the following reasons, which one of ordinary skill in the art would not have expected. Taking iodine as an example, the bond strength between an iodine atom and a manganese atom is known to be much larger than the bond strength between iodine and copper, at least in the gas phase. (The data can be found in the CRC Handbook of Chemistry and Physics: D°298 = 197 + 21 kJ/mol for Cu-I and D°298 = 282.8 + 9.6 kJ/mol for Mn-I). Therefore, one of ordinary skill in the art would have expected that a small amount of iodine catalyst (less than a monolayer) would be held strongly by the manganese atoms. While the strong iodine -manganese bond may be desirable to allow iodine to attach to the Mn surface and initiate the subsequent growth of copper, the iodine attached to the manganese would not be able to float to the copper surface and thus would not be able to catalyze the copper deposition reactions on its surface. Accordingly, in certain embodiments, the presence of other components, such as nitrogen in the manganese film, might be able to weaken the manganese-iodine bonds by a sufficient amount so that the iodine can be released to the copper film. As noted above, the MnNx-I bonds nevertheless must also be strong enough to catch the iodine from the gas phase during the initial chemisorption of the iodine.
Discovering the suitable combination of properties that allow initial chemisorption followed by release from the Mn containing surface required extensive efforts and was not readily apparent to the inventors. For example, other materials, such as silicon dioxide and titanium nitride, fail to chemisorb the iodine while other materials, such as cobalt and nickel, readily chemisorb the iodine but then fail to release it.
[0078] After the iodine or bromine containing precursor has been deposited onto the manganese containing layer, a copper layer can be formed using techniques such as CVD, ALD or IPVD.
[0079] In certain embodiments, after the first iodine or bromine containing precursor has been deposited onto the manganese containing layer, manganese and copper containing precursors can be co-deposited, either as mixed precursors or separate precursors to form a copper-manganese alloy.
[0080] In some other embodiments, after the first iodine or bromine containing precursor has been deposited onto the manganese-containing layer, manganese, copper, and
iodine/bromine containing precursors can be co-deposited, either as mixed precursors or separate precursors to form a copper-manganese alloy where the additional iodine/bromine can serve to ensure or even further promote the catalytic growth of the copper-manganese alloy. [0081] In certain embodiments, electroplating of copper can be performed after deposition of copper or copper-manganese alloy described above.
[0082] After the Cu or Cu-Mn alloy has been deposited and/or electroplated, the Cu or Cu- Mn alloy layer 120 can be annealed to increase the grain size and reduce the resistance.
[0083] Finally the excess copper is removed by CMP to create the structure shown in Fig. 10. This stage corresponds to the structure of Fig. 1, with one more stage of wiring completed.
[0084] In one or more embodiments, vapor deposition is used to deposit a metal M selected from the group of Mn, Co, Cr and V. Metal amidinate compounds of the formula [M(AMD)m]n may be used as precursors, where AMD is an amidinate ligand and typically m = 2 or 3 and n = 1 or 2. For the case m = 2 and n = 1, these compounds may have the following structure:
Figure imgf000016_0001
[0085] in which R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are groups made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms. In one CVD method for depositing Mn, a manganese amidinate vapor is brought into contact with a heated substrate. Where the substrate is Cu, a CuMn alloy is formed. Where the substrate contains silicon and oxygen, an insulating surface layer of MnSixOy is formed. In order for these layers to be formed, the temperature of the heated surface should be sufficiently high, typically over 150 °C, or preferably over 300 °C.
[0086] In one or more embodiments, the Mn-containing precursor can be a manganese amidinate having the formula, [Mn(AMD)m]n, where AMD is an amidinate and m = 2 or 3 and
n can range from 1 to 3. Some of these compounds for m = 2, n : 1 have a structure 1,
Figure imgf000017_0001
1
in which R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are groups made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-
1 2 3 1' 2' 3'
metallic atoms. In some embodiments, R , R , R , R , R and R may be chosen
independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl, alkylamide or fluoroalkyl groups or other non-metal atoms or groups.
[0087] Exemplary hydrocarbon groups include Ci-C6 alkyl, C2-C6 alkenyl and C2-C6 alkynyl groups. They can be branched or unbranched.
[0088] "Alkyl group" refers to a saturated hydrocarbon chain that may be a straight chain or branched chain or a cyclic hydrocarbon group, containing the indicated number of carbon atoms. For example, Ci-C6 indicates that the group may have from 1 to 6 (inclusive) carbon atoms in it. Examples of alkyl groups include, but are not limited to, ethyl, propyl, isopropyl, butyl, and tert-butyl groups. Examples of cyclic alkyl groups include, but are not limited to, cyclopropyl, cyclopropylmethyl, cyclobutyl, cyclopentyl, cyclohexyl, cyclohexylmethyl, cyclohexylethyl, and cycloheptyl groups.
[0089] "C2-C6 alkenyl group" refers to a straight or branched chain unsaturated hydrocarbon containing 2-6 carbon atoms and at least one double bond. Examples of a C2-C6 alkenyl group include, but are not limited to, groups derived by removing a hydrogen from ethylene, propylene, 1-butylene, 2-butylene, isobutylene, sec-butylene, 1-pentene, 2-pentene, isopentene, 1-hexene, 2-hexene, 3-hexene, and isohexene.
[0090] "C2-C6 alkynyl group" refers to a straight or branched chain unsaturated hydrocarbon group containing 2-6 carbon atoms and at least one triple bond. Examples of a C2-C6 alkynyl group include, but are not limited to, groups derived by removing a hydrogen from acetylene, propyne, 1-butyne, 2-butyne, isobutyne, sec-butyne, 1-pentyne, 2-pentyne, isopentyne, 1-hexyne, 2-hexyne, and 3-hexyne. [0091] "Substituted hydrocarbon group" refers to a saturated or unsaturated, straight or branched chain hydrocarbon containing 1-6 carbon atoms that can be further substituted with other functional groups, such as halogen or boron, or boron-containing groups.
[0092] "Halogen" refers to an atom of fluorine, chlorine, bromine, or iodine. Halogenated hydrocarbons include fluorinated, chlorinated or brominated alkyl. Exemplary fluorinated hydrocarbons include fluoroalkyl, fluoroalkenyl and fluoroalkynyl groups and combinations thereof.
[0093] "Groups of non-metallic atoms" include nitrogen-containing and silicon-containing groups. Exemplary nitrogen-containing R groups include amines (NR'R"), in which R' and R" include one or more of H, Ci-C6 alkyl, C2-C6 alkenyl or C2-C6 alkynyl group and combinations thereof.
[0094] Exemplary silicon-containing R groups include silyl groups (SiR'R"R' "), in which R', R" and R' " include one or more of H, Ci-C6 alkyl, C2-C6 alkenyl or C2-C6 alkynyl group and combinations thereof.
1 2 3 1' 2' 3'
[0095] In some embodiments, R , R , R , R , R and R are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups. In some embodiments, the Rn groups contain 1 to 4 carbon atoms. In other embodiments, the Mn precursor is an oligomer of structure 1, with n = 2 or more. The manganese amidinate may comprise manganese(II) bis(N,N'-diisopropylpentylamidinate), corresponding to taking R1, R2, R1 and R2 as isopropyl groups, and R3 and R3 as n-butyl groups in the general formula 1.
[0096] In a CVD method, bis(N,N'-diisopropylpentylamidinato)manganese(II) vapor is flowed over a surface that has been heated to a temperatures of 100 to 500 °C, or more preferably 150 to 400 °C. A CuMn alloy is formed on the exposed copper surfaces. A MnSixOy layer is formed as a diffusion barrier over the insulating areas. In some embodiments, the manganese content of the MnSixOy layer and the CuMn layer is equivalent to a manganese metal film with thickness of 1 to 10 nm, or more preferably a thickness of 2 to 5 nm.
Alternatively, the vapor is mixed with dihydrogen gas (H2) at a temperature above 90 °C and used for the CVD process.
[0097] Manganese amidinates may be made by any conventional method. See, e.g., WO 2004/046417, which is incorporated by reference in its entirety.
[0098] In one or more embodiments, the metal precursor may include cyclopentadienyl and carbonyl ligands, corresponding to the general formula (Cp)qMr(CO)s where Cp is an cyclopentadienyl radical substituted by up to five groups, and q, r, and s can be any positive integer. These compounds may have the following structure:
Figure imgf000019_0001
[0099] In one or more embodiments, the Mn-containing precursor can be a manganese cyclopentadienyl tricarbonyl having the formula, (Cp)Mn(CO)3. Some of these compounds have a structure 2,
Figure imgf000019_0002
in which the R1, R2, R3, R4, and R5 groups are made from one or more non-metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non- metallic atoms, as described herein above. In some embodiments, R1, R2, R3, R4, and R5, may be chosen independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl or fluoroalkyl groups or other non-metal atoms or groups. In some embodiments, R1, R2, R3, R4 and R5 are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups. In some embodiments, the Rn groups contain 1 to 4 carbon atoms. A preferred compound of this type is commercially available methylcyclopentadienylmanganese tricarbonyl,
(MeCp)Mn(CO)3, in which R1 is a methyl group and the other Rn's are hydrogen.
[0100] In one or more embodiments, the metal precursor may include two Cp ligands, with formula M(Cp)2 where Cp is a cyclopentadienyl radical substituted by up to five groups. These compounds may have the following structure:
Figure imgf000020_0001
[0101] In one or more embodiments, the Mn-containing precursor can be a manganese cyclopentadienyl having the formula, Mn(Cp)2. Some of these compounds have the formula 3,
Figure imgf000020_0002
in which R1, R2, R3, R4, R5, R1 , R2 , R3 , R4 and R5 are groups made from one or more non- metal atoms, such as hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms, as described herein above. In some embodiments, R1, R2, R3, R4, R5, R1', R2', R3', R4' and R5 may be chosen independently from hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl or fluoroalkyl groups or other non-metal atoms or groups. In some embodiments, R1, R2, R3, R4, R5, R1', R2', R3', R4' and R5 are each independently alkyl or fluoroalkyl or silylalkyl groups or alkylamide groups. In some embodiments, the Rn groups contain 1 to 4 carbon atoms.
[0102] In certain embodiments, the manganese precursor bis(N,N'- diisopropylpentylamidinato)manganese(II) may be prepared as described in US Patent
Application US 2009/0263965, the contents of which is incorporated by reference in its entirety, or obtained from the Dow Chemical Company. Its chemical formula is shown below:
Figure imgf000021_0001
[0103] Iodine precursors, such as ethyl iodide or elemental I2 are commercially available from many commercial sources.
[0104] A Cu layer (a seed layer or a full layer) may be deposited conformally by methods such as CVD or ALD. ALD methods are described, for example, by Zhengwen Li, Antti Rahtu and Roy G. Gordon in the Journal of the Electrochemical Society, volume 153, pages C787- C794 (2006) and by Zhengwen Li and Roy G. Gordon in the journal Chemical Vapor
Deposition, volume 12, pages 435-441 (2006). CVD methods are described in the paper by Hoon Kim, Harish B. Bhandari, Sheng Xu and Roy G. Gordon, which was published in the Journal of the Electrochemical Society, volume 155, issue 7, pages H496-H503 (2008). In this reference, smooth thin layers of copper oxynitride or copper oxide are first deposited using conventional vapor deposition techniques and then the deposited layers are reduced to smooth copper films by reduction with a hydrogen plasma at room temperature. Another method for reducing copper oxide films to copper metal is by reaction with liquid solutions of reducing agents such as dimethylamineborane or metal borohydrides.
[0105] In certain embodiments, the copper precursor copper N,N'-di-sec- butylacetamidinate may be utilized, which can be prepared as described in Inorganic
Chemistry, volume 44, pages 1728 - 1735 (2005), the contents of which is incorporated by reference in its entirety, or obtained from the Dow Chemical Company. Its chemical formula is shown below:
Figure imgf000022_0001
[0106] Other copper compounds can also be used for the iodine-catalyzed CVD of copper, including copper beta-diketonates, copper beta-ketoiminates, copper diketiminates, copper cyclopentadienyls, copper alkoxides and copper aminoalkoxides. Specific examples of these general classes include l,l,l,5,5,5-hexafluoro-2,4-pentadionato copper(I) vinyltrimethylsilane (sometimes known as (hfac)CuVTMS), 1,1,1, 5,5, 5-hexafluoro-2,4-pentadionato copper(I) (3,3- dimethyl-l -butene) (sometimes known as (hfac)CuDMB), bis(l, 1,1,5, 5,5-hexafluoro-2,4- pentadionato) copper(II) (sometimes known as Cu(hfac)2), (N(l(dimethylvinylsiloxy)-l- methylethano)-2-imino-4-pentanoato)copper(I) (a copper ketominate),
(N(2(vinyldimethylsiloxy)propyl)-2-imino-4-pentenoato) copper(I), bis[[2-(4,5-dihydro-3H- pyrrol-yl)-l -methyl-vinyl] ethyl-ammate]copper(II) (a copper diketimi ate) and
methyl cyc!opentadienyl copper(]) ethylene. Another suitabie copper precursor is the copper(I) beta-diketiminate whose formula is shown below:
Figure imgf000022_0002
[0107] As noted above, electrochemical deposition can be used to fill the trenches and vias with copper by techniques known in the art. Electrochemical deposition may be able to provide pure copper without voids or seams in a cost-effective process.
[0108] In the foregoing description, the present invention has been described mainly with respect to Mn metal. However, the present invention encompasses other metals, such as cobalt, vanadium and chromium metals as well. Accordingly, these metals can be interchanged with manganese for the descriptions provided herein. For example, the precursor described above can be a cobalt, chromium or vanadium amidinates having the structure, [Co(AMD)m]n,
[Cr(AMD)m]n or [V(AMD)m]n, where AMD is an amidinate and m = 2 or 3 and n can range from 1 to 3.
EXAMPLES
Example 1
[0109] The compound that served as a precursor for the manganese is called bis(NN'- diisopropylpropionamidinato)manganese(II), whose chemical formula is shown below.
Figure imgf000023_0001
[0110] This compound was synthesized by the following method. All reactions and manipulations were conducted under a pure dinitrogen atmosphere using either an inert atmosphere box or standard Schlenk techniques. All glassware was stored in an oven at 150 °C for over 12 h before carrying reactions. Diethyl ether was purified using an Innovative Technology solvent purification system, and was freshly used from the purification without any storage. Butyllithium (1.6 M in hexanes), N,N'-diisopropylcarbodiimide, and manganese(II) chloride (anhydrous beads) were purchased from Aldrich and used as received. Volume reduction and evaporation steps were performed in vacuo.
[0111] Bis(A,N'-diisopropylpropionamidinato)manganese(II). At -30 °C, a solution of butyllithium (1.6 M in hexanes, 100 mL, 160 mmol) was added dropwise to a well-stirred solution of N,N'-diisopropylcarbodiimide (20.2 g, 160 mmol) in 250 mL of diethyl ether. The pale-yellowish mixture was maintained at -30 °C for 4 h before being allowed to warm to ambient temperature. Manganese chloride (10.0 g, 79.5 mmol) was added as a solid to the solution, and the reaction mixture was stirred until all pinkish manganese chloride beads were reacted (ca. 48 h). The resulting cloudy orange mixture was filtered through a pad of Celite on a glass frit to yield a clear orange-brown solution. All volatiles were removed, leaving a yellow-brown solid that was vacuum distilled at 120 °C at 20 mTorr into a condenser and receiving flask heated to over 60 °C, the melting point of the product. The pale yellowish liquid condensate solidified in the receiving flask as it cooled to room temperature, giving 27.4 g, 65 mmol, or 82% yield of pure product. Bis(N,N'- diisopropylpropionamidinato)manganese(II) is a pale yellow crystalline solid that immediately turns black when exposed to air.
[0112] For the CVD experiments, the liquid manganese precursor was evaporated at a temperature of 90 °C into a flow of highly purified nitrogen (concentrations of water and oxygen less than 10"9 of the N2). The vapor pressure of the precursor is estimated to be around 0.1 mbar at this temperature.
[0113] The silica substrates were either thermally oxidized silicon or silica deposited by ALD or by plasma-enhanced CVD. The CVD was carried out in a hot- wall tube reactor (diameter 36 mm) within a tube furnace at temperatures between 200 and 400 °C and a total pressure of about 5 Torr. The flow rate of N2 carrier gas was 60 seem. The amount of manganese deposited was measured by Rutherford backscattering spectroscopy (RBS).
[0114] The MnSixOy formation was evaluated by cross-sectional high-resolution transmission electron microscopy (HRTEM). The effectiveness of the MnSixOy as a barrier to diffusion of Cu was tested in four ways: optical appearance, sheet resistance, Cu silicide formation and capacitance-voltage (CV) analysis of capacitors. For Cu diffusion tests, layers of Si02 8 nm thick were grown on HF-etched silicon wafers by ALD at 215 °C, followed by CVD Mn at 350 °C for 10 min, which deposited an amount of Mn metal equivalent to a Mn metal film 2.3 nm thick, which reacted with the silica surface to form a thicker MnSixOy layer.
Control samples of Si02 omitted the CVD Mn treatment. Then Cu layers about 200 nm thick were deposited on top of the CVD MnSixOy or Si02 layers. Anneals in a pure nitrogen atmosphere were carried out at temperatures of 400, 450 and 500 °C for one hour. For CV analysis, CVD Mn was deposited on 300 nm thermal Si02. Cu pads (500 μιη diameter circle) were formed by thermal evaporation through a shadow mask.
[0115] Thin Mn layers (equivalent to a Mn metal layer 2.3 nm thick) deposited on Si02 did not have significant electrical conductivity, presumably because the Mn reacts with the insulator to form MnSixOy which has a high resistivity. Thus, the deposition of metal Mn is not proven by this result. To confirm that Mn metal was initially deposited, Mn was deposited on Cu 50 nm thick that had been evaporated onto Si02/Si substrates. The resulting structure was examined by cross-sectional HRTEM. Fig. 11 shows that the CVD Mn metal diffused through the Cu layer and reacted with the Si02 to form an amorphous MnSixOy layer about 2~5 nm thick between the Cu and the Si02. The MnSixOy layer is thicker near grain boundaries in the Cu, along which Mn diffusion is faster. This result is clear evidence of Mn metal deposition.
[0116] These layers show strong adhesion after Mn deposition. No material was removed after a tape adhesion test. The adhesion of these layers was measured more quantitatively by a 4-point bend test to be greater than 5 J m"2. This value is high enough to survive CMP and later mechanical stresses in microelectronic devices. In control experiments, Cu deposited on Si02 without the subsequent Mn deposition was easily pulled off by tape because of its poor adhesion.
[0117] The effectiveness of MnSixOy as a copper barrier was evaluated using a sample structure PVD Cu(200 nm)/CVD Mn (2.3 nm)/ALD Si02 (8 nm)/Si. A MnSixOy layer was formed between the Cu and ALD Si02 layers. The shiny Cu color and sheet resistances of these samples were unchanged by anneals in nitrogen at 400 or 450 °C. After a 500 °C anneal, the control sample without Mn turned black and its sheet resistance increased by a factor of 200 because of massive diffusion of the Cu through the thin ALD Si02 into the silicon. The CVD Mn sample, by contrast, retained its shiny Cu color and showed only a slight increase in resistance even at 500 °C.
[0118] To analyze for Cu diffusion, the remaining Cu layers were dissolved in nitric acid, and then the manganese silicate and silica were removed by dilute HF. The etched surfaces were then analyzed by an energy-dispersive X-ray spectrometer (EDX) and scanning electron micrographs (SEM). Fig. 12 shows the SEM results after a 500 °C anneal for 1 hr. The few Cu- containing spots appear to be Cu silicide crystallites oriented by the crystal directions of the silicon. The control sample shows that the majority of its surface is covered by Cu silicide. The control sample showed a large Cu signal in EDX analysis that was stronger than the silicon signal, confirming that the thin ALD Si02 allowed diffusion of Cu. The CVD Mn-treated samples did not show Cu by large-area ED AX. A few small areas of the SEM image did show some Cu by EDAX, indicating some localized breakdown of the MnSixOy barrier at 500 °C. These spots might arise from dust or other defects in the films, which were not processed in a clean-room environment.
[0119] An electrical test of barrier properties was carried out by patterning the Cu into capacitor electrodes. CV curves for samples annealed at 450 °C for 1 hr are shown in Fig. 13. The large shift (-4.9 V) to negative voltages in the control sample is caused by positive Cu ions diffusing into the silica insulator. In contrast, the silica protected by MnSixOy shows only a very small shift (- 0.1 V). This electrical test is more sensitive to diffusion of small amounts of Cu than the other tests. These CV curves also demonstrate that the capacitance of the Si02 is not changed significantly by the CVD Mn treatment.
[0120] Anneals of similar capacitors were also conducted under an applied voltage of 1 MV/cm at 250 °C. Bias temperature stress (BTS) test is more sensitive method for Cu diffusion into Si02. The control sample lost the capacitance behavior after just 2 minutes in the BTS condition (Fig. 14(a)), implying that a large amount of Cu diffused into the Si, so that the Si would not work as a semiconductor. However, the CVD Mn treated sample had no significant change in its CV curve (Fig. 14(b)). The results of this BTS test confirm the good Cu barrier properties of the MnSixOy layers.
[0121] MnSixOy layers were also found to be good barriers to oxygen and water, which can corrode copper layers. To test how well the metal silicate layers protected copper, commercial low-k porous insulator layers from Applied Materials was coated with manganese as described above, followed by CVD copper. The top surface of the copper was protected with 20 nm of ALD silica by the process described in Science, volume 298, pages 402 - 406 (2002). The sample was cut into pieces to expose the edges of the low-k insulator so that oxygen or water vapor could diffuse into the low-k layer. After exposure to dry air at 300 °C for 24 hours, the sample maintained its shiny copper color. A control sample without the CVD manganese treatment was corroded to dark copper oxide by the same exposure. This test shows that the manganese silicate layer is a good barriers to oxygen. Similar tests in a humid atmosphere (85% humidity at 85 °C for 24 hours) showed that the manganese silicate layer is a good barrier to water vapor.
[0122] The formation of the MnSixOy layer increased the adhesion of the Cu/Si02 interface, which failed the tape adhesion test prior to the CVD of Mn, but passed after the CVD of Mn. Adhesion strength was measured by 4-point bend tests. The samples were prepared by CVD of Mn onto thermal Si02 on silicon wafers. Then CVD at 200 °C was used to form Cu by the reaction of vapors of copper N,N'-di-sec-butylacetamidinate and hydrogen (H2). The adhesion energy was found to be 10.1 + 1 J m~2. Generally, 5 J m~2 is considered to be a minimum threshold requirement for making durable interconnections.
[0123] A cross-sectional transmission electron microscope (TEM) was used to make an image (Fig. 15) of a MnSixOy layer in the surface of a low-k insulator. This image shows the MnSixOy layer as a dark, featureless band, indicating that this layer is an amorphous glass. Conformality of the CVD Mn and CuON depositions in holes with aspect ratios up to 40: 1 was confirmed by cross-sectional SEM and TEM studies.
Example 2
[0124] Example 1 is repeated with manganese cyclopentadienyl tricarbonyl, MnCp(CO)3, in place of bis(bis(N,N'-diisopropyl-pentylamidinato)manganese(II). Similar results are obtained.
Example 3
[0125] Example 1 is repeated with chromium in place of manganese. Similar results are obtained.
Example 4
[0126] Example 1 is repeated with vanadium in place of manganese. Similar results are obtained.
Example 5
[0127] Improved adhesion between Mn-diffused Cu and a SiCN insulating film was obtained. Quantitative 4-point bend tests of the adhesion energy between Mn-diffused Cu and SiCN layers were carried out. 50 nm of copper was evaporated onto SiCN layers (BLoK™, Applied Materials). The Cu showed very poor adhesion, with adhesion energy less than 3 J m~2. Next, similar Cu/SiCN layers were treated by CVD Mn at 350 °C for 10 minutes. This process increased the sheet resistance from 0.5 ohms/square to 1 ohm per square because of the manganese impurity in the copper. Then the structure was annealed for 1 hour at 400 °C in a nitrogen atmosphere. The sheet resistance then returned to slightly less than 0.5 ohms per square because the manganese diffused to the surfaces or the interface. The out-diffusion of the manganese from the Cu film was confirmed by SIMS analysis. After the heat treatment, the adhesion energy was remarkably increased to greater than 12 J m~2, because manganese diffused to the interface, and made an interface or reaction layer. The adhesion energy was greater than the 10.1 + 1 J m"2 obtained in Example 1.
Example 6
[0128] Even greater adhesion between Mn-diffused Cu and Si3N4 layers were observed. 20 nm of Cu was deposited by CVD as in Example 1 on a silicon wafer that had been previously coated with Si3N4 by plasma-activated CVD. Then 2.3 nm of Mn was deposited by the CVD process described in Example 1. Next another 20 nm of Cu was deposited by CVD, followed by 30 nm of Si3N4 by plasma-activated CVD (PECVD). The adhesion of these layers was so strong that they could not be separated during the 4-point bend tests. Instead, the high- strength epoxy failed at debonding energy densities over 80 J m"2. Accordingly, at least an 8-fold increase in adhesion was observed using S13N4 layers rather than the silica layer of Example 1.
[0129] Control samples made without the CVD Mn step failed at much lower debonding energy densities of about 7 J m~2.
[0130] These results show that the bonding of Cu to a capping layer of Si3N4 can be greatly strengthened by the addition of Mn to the Cu layer by CVD. The much stronger bonding of the Mn-doped Cu to the capping layer can suppress electromigration along the tops of the capped line. Thus this capping process leads to a much greater lifetime of the interconnect lines before they fail by electromigration. The interfacial bonding layer comprising Mn, Si and N bonds copper metal to S13N4 more strongly than an interfacial layer that includes oxygen.
Example 7
[0131] In addition, the Mn capping process is able to maintain the insulation between Cu lines. In order to demonstrate this effect, comb test structures were prepared with long (~ 4 cm) parallel Cu interconnects separated by Si02-based insulating lines 70 nm wide. The upper surfaces were prepared by chemical-mechanical polishing to be substantially flat. The leakage current between the lines was less than 10"12 amperes when measured at 2 volts. After CVD of Mn as in Example 1 for 5 minutes and PECVD of 20 nm S13N4, the leakage current remained at this low base-line level. The resistance along the length of the lines decreased slightly from its initial value, possibly because of growth in the size of the copper grains during the CVD processes.
Example 8
[0132] Substrates of several commercial insulating layers on silicon were loaded into a CVD reactor, along with thin (20 nm) copper on oxidized silicon. These insulating samples included thermally-grown silicon dioxide, plasma-deposited silicon dioxide and non-porous low-k silicon carbide oxide (SiCOH) insulators with dielectric constants of 2.7 or 2.5, as well as porous low-k SiCOH insulators with dielectric constants of 2.4 or 2.2. Another substrate was patterned with areas of copper separated by areas of non-porous SiCOH (k=2.5). All these samples had received a chemical mechanical polish prior to the vapor treatments. After the substrates were loaded into the reactor they were flushed with purified nitrogen while they were heated to 250 °C. Then copper oxide on the copper surfaces was reduced in purified hydrogen gas at 1 Torr for 1 hour at 250 °C. This treatment also removed adsorbed water from the insulators. Next the reactor was cooled down to room temperature. Then two self- assembled monolayer (SAM) vapor pretreatments were applied, as follows. The reactor was pumped down to the base pressure (about 20 mTorr), and then filled with vapor (about 14 Torr) from a room-temperature source of bis(N,N-dimethylamino)dimethylsilane,
(CH3)2Si(N(CH3)2)2 and then heated to 90 °C for ½ hour. Then the reactor was again pumped to base pressure, cooled to room temperature and refilled with the vapor (about 75 Torr) of (N,N- dimethylamino)trimethylsilane, (CH3)3SiN(CH3)2 and heated to 90 °C for ½ hour. The samples were then heated to the manganese deposition temperature of 300 °C. The manganese precursor, bis(N,N'-diisopropylpentylamidinato)manganese(II), was evaporated from the liquid in a bubbler at a temperature of 90 °C into a 60 seem flow of highly purified nitrogen
(concentrations of water and oxygen less than 10"9 of the N2). This vapor mixture was mixed with 60 seem of purified hydrogen at a tee just prior to entering one end of a tubular reactor. The reactor tube has an inner diameter of 36 mm. A half cylinder of aluminum supported the substrates inside the reactor. The pressure in the reactor was maintained at 5 Torr by a pressure sensor controlling a butterfly valve between the reactor and the vacuum pump. After the temperature was stabilized, the CVD vapor mixture was passed through the reactor for 20 minutes. Then the reactor was cooled down to room temperature and the samples removed for analysis.
[0133] Rutherford Backscattering Spectroscopy (RBS) was used to measure the amount of manganese deposited on the samples. The resulting data are shown in Fig. 16 for a copper substrate and for a low-k substrate (k=2.5). Analysis of these RBS data show that 6.6 x 1016 manganese atoms per square centimeter were deposited on and into the copper substrate, an amount that would form a layer 8 nm thick if it had the density of bulk manganese metal. No manganese (detection limit <5xl013 atoms cm"2) could be detected by RBS on either sample of silicon dioxide or on any of the low-k SiCOH insulators with k=2.4, 2.5 or 2.7. Thus this process has selectivity > 1000: 1 in favor of deposition on Cu versus deposition on these insulators. The manganese content of the patterned samples was also measured by Energy- Dispersive Analysis by X-rays (ED AX) in a Scanning Electron Microscope (SEM). 5.08 atomic % manganese was found in the copper areas, whereas no manganese (<0.01 %) was detected on the insulating areas. According to the EDAX results, the selectivity is >500: 1. X- ray Photoelectron Spectroscopy (XPS) also found manganese on copper, but no manganese on non-porous insulators, showing selectivity >100: 1 , as shown by the bottom curve in Fig. 19. Because RBS has the greatest sensitivity of these analytical methods, we conclude that the selectivity exceeds 1000: 1.
[0134] On the porous SiCOH insulator with k=2.2, a low level of Mn (1.2xl014 atoms cm"2) was detected on the insulator, corresponding to a selectivity about 500: 1.
[0135] The distribution of CVD manganese in a copper substrate, as determined by XPS analysis, is shown in Fig. 17. The points are the experimental values, and the line is a theoretical fit to the diffusion equation, assuming that the surface concentration of manganese remains constant during the CVD process, and that the substrate is cooled quickly after deposition. The diffusion constant determined from this fit is 3 x 10~21 m2 s"1, a value that is about 30 times larger than the value previously reported for diffusion of Mn into single-crystal Cu at 300 °C.
Example 9
[0136] Samples of the porous SiCOH insulators (k=2.2 or 2.4) were first subjected to pore- sealing by ALD Si02, as described in the patent application US2008/0032064, which is incorporated by reference herein in its entirety. Then they were treated with CVD manganese as described in Example 8. Analyses by RBS, ED AX and XPS showed that no manganese deposited on the sealed surfaces of the insulators.
Example 10
[0137] Additional tests were carried out to see how much manganese is required to increase the adhesion between copper and insulators onto which it is deposited. Insulating substrates of silicon dioxide, silicon nitride and silicon carbon nitride were used. First CVD was used to form a copper layer on the insulators as in Example 1 , then CVD of manganese was carried out as in Example 1 , and then a second CVD copper layer was deposited. These samples were taken through an air break into a chamber in which they received about 0.1 μιη of sputtered aluminum, and then they were attached by high-strength epoxy to a piece of a second silicon wafer. Adhesion testing by the 4-point probe method gave the results shown in Fig. 18, in which the debonding energy is plotted against the ratio of manganese to silicon remaining on the fracture surface of the insulator, as determined by XPS. These results show that increasing amounts of manganese at the interface between the copper and an insulator greatly increases the adhesion strength between these materials. Example 1 1
[0138] Additional tests were carried out to see how manganese strengthens the interface between a previously-deposited copper layer and silicon nitride subsequently deposited on top of the copper. First, titanium was sputtered onto a substrate of thermally oxidized silicon, followed by a sputtered copper layer. Following an air break, the oxidized copper surface was reduced by heating in purified hydrogen gas at 1 Torr for 1 hour at 250 °C. Then CVD manganese was applied as described in Example 8. After another air break, the sample was treated by an ammonia plasma and then a plasma-CVD silicon nitride layer about 20 nm thick was deposited prior to sputtering 0.1 μιη of aluminum. The fracture occurred at the interface between the silicon nitride and the copper onto which it was deposited. The fracture energies at this capping interface are also plotted in Fig. 18, which shows that it is bonded even more strongly than the copper-manganese deposited on top of substrates of silicon nitride as described in Example 10.
Comparative Example 1
[0139] A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reactions with bis(N,N- dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2 and (N,N-dimethylamino)trimethylsilane, (CH3)3SiN(CH3)2 were omitted. About 3 x 1015 manganese atoms per square centimeter were found on the surface of the insulator by RBS analysis. Although XPS does not count the atoms as quantitatively as RBS does, this amount of manganese was readily observed by XPS, as shown in the top curve in Fig. 19.
Comparative Example 2
[0140] A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reaction with bis(N,N-dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2 was omitted and only the reaction with (N,N- dimethylamino)trimethylsilane, (CH ) SiN(CH )2, was carried out. Manganese was detected by XPS on the surface of the insulators, so complete selectivity was not obtained, as shown in the second curve from the top in Fig. 19.
Comparative Example 3
[0141] A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the reaction with (N,N-dimethylamino)trimethylsilane, (CH3)3SiN(CH3)2 was omitted and only the reaction with bis(NN- dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2, was carried out. Manganese was detected by XPS on the surface of the insulators, so complete selectivity was not obtained, as shown in the third curve from the top in Fig. 19.
[0142] The conclusion from comparative examples 1, 2 and 3 is that pre-treatments with both bis(N,N-dimethylamino)dimethylsilane, (CH3)2Si(N(CH3)2)2, and (N,N- dimethylamino)trimethylsilane, (CH3)3SiN(CH3)2 aids in minimizing deposition of manganese on insulators during the stabilization of copper surfaces by CVD manganese.
Comparative Example 4
[0143] A control experiment was carried out for comparison with Example 8. The steps in Example 8 were repeated, except that the flow of hydrogen, H2, was replaced by a flow of nitrogen, N2. XPS analysis showed the presence of manganese on the surfaces of insulators. The conclusion from comparative example 4 is that the presence of hydrogen during CVD aids in minimizing deposition of manganese on insulators during the stabilization of copper surfaces by CVD manganese.
Example 12
[0144] Cobalt metal was deposited selectively by CVD on copper surfaces, while little or no cobalt was deposited on suitably pretreated insulator surfaces. Substrates of copper and silica were first prepared by heating in purified hydrogen gas at 1 Torr for 1 hour at 250 °C, and then exposed to the silane vapors as described in Example 8. Bis( V-tert-butyl-N'- ethylpropionamidinato)cobalt(II) was prepared as described in the paper "Synthesis and characterization of volatile liquid cobalt amidinates", published in Dalton Transactions of the Royal Society of Chemistry, pages 2592-2597 in 2008, which is incorporated by reference herein in its entirety. This liquid cobalt precursor was placed in a bubbler at 85 °C, at which temperature it has a vapor pressure about 0.26 Torr. Its vapor was delivered to the CVD reactor by passing 60 seem of high purity N2 gas through the bubbler. The co-reactant gas, H2, with a flow rate of 60 seem, was mixed with the precursor vapor stream just prior to entering the CVD reactor. The substrates were held at a temperature of 200 °C. Deposition for 20 minutes was sufficient to cover the copper surface completely with cobalt. The evidence for this coverage is that XPS showed only signals for cobalt, with no signals characteristic of copper. On the silica surface, no XPS signals for cobalt were detected, while the RBS analysis showed less than 1014 cobalt atoms per square centimeter. Example 13
[0145] Plasma-enhanced silica layers on silicon were used as substrates for manganese deposition under conditions described in Example 1 to form MnSixOy layers. Then CVD manganese nitride was deposited by reacting the same manganese precursor with ammonia at a partial pressure of 2 Torr and hydrogen at a partial pressure of 1 Torr, and a substrate temperature of 130 °C for 5 minutes, resulting in a coating with composition Mn4N about 2.5 nm thick. The root-mean-square surface roughness was measured by atomic force microscopy to be 0.3 nm, which is barely larger than that of the substrate, 0.2 nm. This result shows that the manganese nitride remains smooth and does not agglomerate at this low deposition
temperature.
Example 14
[0146] Manganese nitride was deposited as in Example 13. The manganese nitride layer was then reduced by hydrogen plasma at a substrate temperature just above room temperature (heated to about 50 °C by recombination of hydrogen atoms on the surface) to produce a smooth, non-agglomerated layer of manganese metal.
Example 15
[0147] As another example of CVD of manganese nitride, the manganese precursor was evaporated from the liquid in a bubbler at a temperature of 90°C into a 60 seem flow of highly purified nitrogen (concentrations of water and oxygen less than 10"9 of N2). This vapor mixture was mixed with 60 seem of highly purified nitrogen and 60 seem of purified ammonia (NH3) at a tee just before entering one end of a tubular reactor. The reactor tube had an inner diameter of 36 mm. A half-cylinder of aluminum supported the substrates inside the isothermal reactor. The reactor temperature was controlled at 130°C and the total pressure in the reactor was maintained at 5 Torr by a pressure sensor controlling a butterfly valve between the reactor and the vacuum pump. Under these conditions, about 2.5 nm of manganese nitride film was deposited in 5 minutes.
[0148] Substrates having holes with aspect ratio (ratio of length to diameter) of 52: 1 were coated in this way with MnNx, x ~ 0.25. Fig. 20 shows a SEM of a cross section through some of these holes. The bright line outlining the holes comes from the MnNx film, showing that the material was deposited uniformly and conformally over the inside surfaces of these holes. X- ray diffraction showed that the material has the cubic structure known for Mn4N. Atomic force microscopy (AFM) showed that Mn4N films are fairly smooth, with a root-mean-square roughness equal to 7 % of their thickness.
Example 16
[0149] Mn4N was deposited as in Example 15. The Mn4N film was kept in the reactor in a flow of pure nitrogen while it was cooled to about 50 °C, in order to protect its surface from oxidation. Ethyl iodide vapor (CH3CH2I, boiling point 72°C) was then used as an iodine source to adsorb iodine atoms onto the fresh surface of the manganese nitride film. The ethyl iodide was contained in a bubbler at room temperature and its vapor was fed directly into the reactor at a partial pressure of 0.05 Torr for 30 seconds along with a nitrogen carrier gas at a flow rate of 100 seem and a total pressure of 0.5 Torr. CVD copper was then deposited in the same reactor using copper precursor evaporated from the liquid in a bubbler at a temperature of 130°C into a 40 seem flow of highly purified nitrogen. Hydrogen (40 seem) was mixed with the copper precursor vapor just before entering the reactor held at a substrate temperature of 180°C and a total pressure of 5 Torr. Under these conditions, about 65 nm of copper was deposited in 40 minutes.
[0150] Fig. 21 shows that this process completely filled trenches less than 30 nm wide and over 150 nm deep with copper, with an aspect ratio over 5: 1. No seams or voids were seen along the centerline of the copper, suggesting that iodine pre-adsorbed on the M¾N was released from the Mn4N and then catalyzed the bottom-up filling of these trenches as a surfactant floating on the growing surface of the copper. Fig. 22 shows that after the deposition iodine is found only on the top surface of the copper by X-ray photoelectron spectroscopy (XPS). Signals of iodine disappear together with signals of oxygen and carbon from surface contamination as the film is sputtered from the top, and no impurities are detectable in the bulk of the copper film. These XPS data prove that the iodine was successfully released from the Mn4N surface, and floated as a catalytic surfactant on the growing copper surface. Even narrower trenches, with widths as low as 17 nm, depths over 150 nm and aspect ratios of 9: 1, were also filled successfully with Cu by this process, as shown by the SEM in Fig. 23.
Conventionally, it had been believed that catalytic CVD of copper could not provide void-free filling of trenches if their aspect ratio was over 5: 1. However, contrary to conventional wisdom, substantially void- free filling of trenches was achieved with CVD of copper catalyzed by iodine released from the surface of the MnNx. Wider trenches were partially filled with copper by the same deposition conditions, as shown in FIG. 24. The fact that the copper grew faster from the bottom than from the sides of the trench shows that the iodine catalyst was released from the surface of the MnNx layer.
[0151] Another surprising observation from the micrographs in Fig. 21 is that large copper grains completely cross the width of the trenches, even without any post-deposition annealing. This "bamboo structure" is highly desirable, because it extends the lifetimes of copper lines before they fail by electromigration. Another factor that extends the electromigration lifetime is if the adhesion of the copper to the surrounding material is strong. Therefore we tested the adhesion of planar copper films grown on Mn4N according to the process described in Example 16. Following the deposition, the structures were annealed at 350 °C for one hour in a pure nitrogen gas ambient. 4-point bend tests on these samples showed debonding energies greater than 6.5 Joules per square meter, which is a value high enough to survive further fabrication by chemical-mechanical polishing.
[0152] The effectiveness of manganese nitride as a barrier to diffusion of copper was tested by looking for its reaction with silicon to form copper silicide. For this copper diffusion test, layers of Si02 9 nm thick were grown on HF-etched silicon wafers by atomic layer deposition (ALD) at 250°C, followed by CVD manganese nitride at 130°C for 5 min, which formed 2.5 nm of film, and a post-deposition anneal at 350°C for 1 hour under nitrogen ambient. Control samples of Si02 omitted the CVD manganese nitride treatment. Copper layers about 200 nm thick were then deposited on top of the manganese nitride or Si02 layers. The samples were then annealed in a pure nitrogen atmosphere at 500°C for 1 hour. To see if copper had diffused into the silicon substrate, the Cu layers were dissolved in nitric acid, and the manganese nitride and silica were removed by dilute HF. The etched surfaces were then analyzed by an energy- dispersive X-ray spectrometer (EDX) and SEM with the results shown in Fig. 25. The reference sample shows that the majority of its surface is covered by copper silicide crystallites, indicating copper has diffused through the thin silica layer. The manganese nitride- treated sample does not show any Cu by large-area EDX, showing that MnNx or its reaction product with Si02 forms an effective barrier against diffusion of copper.
Comparative Example 5
[0153] Example 16 was repeated, except that the CVD of MnNx was omitted. Thus ethyl iodide vapor was exposed to the bare Si02 surface, rather than to MnNx. Much less copper was deposited than in Example 16, and what copper was present was in the form of agglomerated grains, rather than as a conformal film or a filling of narrow trenches. This comparative result shows that Si02 is unable to chemisorb iodine and then release it to serve as a catalytic surfactant, as compared to the MnNx as shown in Example 16.
Example 17
[0154] Example 16 was repeated, except that the first copper layer was grown only for 5 minutes. Then an additional step of iodine adsorption was applied to the fresh copper surface. Then additional CVD of Cu was carried out for 40 minutes. Similar results were found, with the difference that the total amount of copper deposited was 50 % larger than in Example 16, presumably because of the additional amount of iodine catalyst that was supplied.
Example 18
[0155] Manganese nitride was first deposited at 130°C for 5 minutes to form 2.5 nm of film. Ethyl iodide was then introduced into the chamber at 50 °C for 30 seconds at a pressure of 0.05 Torr. Copper was then deposited at 180 °C for 5 minutes to form a continuous layer, and ethyl iodide vapors were again exposed to the Cu surface at 50 °C for 30 seconds. Manganese and copper precursors were then alternatively carried into the chamber by 50 seem of nitrogen and mixed with 50 seem of hydrogen at a substrate temperature of 180 °C and a total pressure of 5 Torr. In one cycle, the manganese precursors were supplied for 3 minutes and the copper precursors were supplied for 5 minutes. This cycle was repeated until the trenches were completely filled with a copper-manganese nanolaminate. The Mn/Cu ratio was quantified by X-ray fluorescence (XRF) and was found to be approximately 0.5 atomic percent manganese. The Cu-Mn nanolaminate completely filled narrow trenches, as shown by the SEM in FIG. 26. The iodine catalyst was found on the top of the nanolaminate surface by XPS, as shown in FIG. 27.
[0156] After annealing, samples prepared according to Example 18 show stronger adhesion to insulator surfaces such as Si02, S13N4 and low-k insulators. When the ratio of manganese to silicon exceeds about 0.5 at the interface between the Cu-Mn and the insulator, the debonding energy becomes larger than about 15 Joules per square meter. Such strong interfaces cannot be broken during the 4-point bend test. This very strong adhesion is expected to greatly increase the lifetime of copper interconnects before they fail by electromigration. The amount of manganese in the copper to achieve this interfacial concentration will depend on the size and shape of the copper interconnect. Concentration of manganese in the copper in the range from 0.1 % to 4% or more preferably between 0.2 % and 2 % may be sufficient to obtain the strong adhesion to insulator surfaces. Example 19
[0157] Example 18 was repeated up through the second iodine exposure. Then the Mn precursor vapors were carried by 60 seem of nitrogen and simultaneously the Cu precursor vapors were carried by 40 seem of nitrogen. These precursor vapor flows were mixed together with 100 seem of hydrogen at a temperature of 120°C and a pressure of 5 Torr. This gas mixture flowed into the deposition zone heated to 180 °C for 45 minutes. The trenches were completely filled with a copper-manganese alloy, as shown in Fig. 28, and the tops of the trenches were covered by about 180 nm of Cu-Mn alloy. The Mn/Cu ratio in the alloy was quantified by XRF and was found to be approximately 0.4 atomic percent manganese and 99.6 copper. The iodine catalyst was found on the top surface of the copper-manganese alloy by XPS, as shown in FIG. 29.
[0158] It should be noted that the proposed explanation regarding the existence of manganese nitride providing sufficient balance of chemisorption and subsequent release of the iodine fails to explain the successful results of Examples 18 and 19, in which most of the manganese has no nearby nitrogen. The manganese that is mixed with the copper layer is not close to any nitrogen, and thus would be expected to bind strongly to the iodine and make it unavailable as catalytically active iodine on the copper surface. Nevertheless, Applicants have verified that the iodine initially adsorbed on MnNx or on Cu "floats" to the surface during subsequent CVD of the Cu-Mn alloy, despite the presence of Mn within the alloy. Despite the fact that Mn is known to form stronger bonds to iodine than Cu, and despite the fact that there is no nearby nitrogen to weaken the interaction between iodine and Mn, Applicants surprisingly demonstrate the successful catalytic growth of copper using iodine-containing precursors even in these examples.
Example 20
[0159] The Mn precursor is dissolved at a concentration of 0.5 M in an inert solvent, 1- methylnaphthalene, the solution is vaporized by a direct liquid injection system, mixed with ammonia gas, and flowed into a reactor to form a MnNx layer. The surface of the MnNx is then exposed to ethyl iodide as in Example 16. The Cu precursor is dissolved at a concentration of 1 M in an inert solvent, 1-methylnaphthalene, the solution is vaporized by a direct liquid injection system, mixed with hydrogen gas, and flowed into the reactor to form a thin Cu layer. The surface of the Cu is then exposed to ethyl iodide as in Example 18. Then separately measured and controlled flows of Cu and Mn precursor solution are simultaneously vaporized in a DLI system, and the resulting mixed vapors, along with the solvent vapor and hydrogen gas, are introduced into the CVD reactor. Results similar to Example 19 are obtained.
Example 21
[0160] Example 20 is repeated, except that the Mn and Cu precursors are dissolved together in an inert solvent, 1-methylnaphthalene, and the solution is vaporized in a direct liquid injection system. The mixed precursor vapors, along with the solvent vapor and hydrogen gas, are then introduced into the CVD reactor during the last deposition step, co- deposition of a Cu-Mn alloy. Results similar to Examples 19 and 20 are obtained. The 1- methylnaphthalene used in Examples 20 and 21 may be replaced by other inert solvents with high boiling points, such as diethyl benzene, tetrahydronaphthalene and pristane.
Example 22
[0161] Example 16 was repeated using substrates of various plastics that are stable up to the deposition temperature of 180 °C. Prior to the deposition, the surfaces of the plastics were cleaned and oxidized by exposure to light from a mercury lamp with a quartz envelope in ambient air for 5 minutes. After deposition, the surfaces of the plastics were covered by electrically conductive copper films with sheet resistance around 0.5 ohms per square. The smooth surface of a polyimide plastic sheet remained smooth, as shown in Fig. 30. The rough surface of a fiberglass-reinforced circuit board was covered conformally, as shown in Fig. 31. The copper adhered strongly to the plastics, and could not be removed by a tape test.
Example 23
[0162] CVD in accordance with one or more of the previous examples can be used to form a thin layer comprising Mn and Cu with a small amount of I on the surface. The thin layer comprising Mn, Cu, and I can serve as a seed layer for electroplating a thicker layer of Cu. In a substrate with both narrow and wide trenches, the CVD steps may fill the narrow trenches, while conformally coating the wider trenches. Subsequent electroplating can then fill the wider trenches economically.
[0163] A small amount of iodine (much less than a monolayer) is attached to the copper surface at the beginning of the electroplating step of Example 23. There is a possibility that this iodine could dissolve in the copper plating bath and contaminate it. Alternatively, the iodine might remain under the plated copper and cause corrosion or reliability problems later.
Therefore it could be advantageous to remove the iodine from the copper surface prior to plating. The following two examples present novel methods for removal of the residual iodine from the surface of copper or copper-manganese alloys.
Example 24
[0164] A CVD MnNx-CVD Cu-Mn sample was prepared as in Example 19. The sample was then placed into a solution of 30% hydrogen peroxide-70% water for 1 minute at room temperature. It was then rinsed in isopropanol and dried. Examination of the surface by XPS showed that no iodine remained on the surface. Other oxidizing agents, such as sodium hypochlorite or sodium hypobromite, may be substituted for the hydrogen peroxide, in order to remove the iodine from the copper surface.
Example 25
[0165] A CVD MnNx-CVD Cu-Mn sample was prepared as in Example 19. The sample was then placed in a reactive ion-etch system. It was first treated by an oxygen plasma with 150 watt microwave power and 50 watt RF power at 10 mTorr pressure for 30 seconds at room temperature. It was then treated by a hydrogen plasma with 150 watt microwave power and 50 watt RF power at 10 mTorr pressure for 3 minutes at room temperature. Examination of the surface by XPS showed that no iodine remained on the surface.
[0166] In the case that only narrow trenches are to be filled with copper, it may be desirable to prevent growth of copper on the flat upper surface of the substrates, in order to minimize the amount of copper that may need to be removed subsequently by CMP. This selective process is outlined in Example 26.
Example 26
[0167] Example 19 is repeated, except that after the second iodine exposure, the plasma treatment of Example 25 is applied to remove the iodine catalyst from the upper flat surface of the substrate. The plasma process is limited to a time short enough so that iodine is not removed from the sides and bottoms of the narrow trenches. Then the remaining superfill of the trenches is completed by iodine-catalyzed CVD of copper-manganese alloy. Only a small amount of copper-manganese alloy forms on the top surface, along with some bumps over the trenches. This small overburden of copper-manganese alloy is readily removed by a short CMP process.
[0168] If the iodine catalyst is removed from the upper part of the sidewalls of the trenches, then the bottom-up growth can proceed further before copper growing from the upper parts of the sidewalls of the trench meet and prevent further growth of copper below the point of closure. Thus trenches and vias with higher aspect ratios can be filled without voids or seams. This selective process for filling narrower and deeper trenches is illustrated in Example 27.
Example 27
[0169] Example 26 is repeated, except that the oxygen plasma and the hydrogen plasma are applied for a longer time, so that the iodine is removed from the upper sidewalls of very narrow trenches, as well as from the flat top surfaces between the trenches. Trenches with aspect ratios higher than 10: 1 are filled without voids or seams.
[0170] If the iodine catalyst is removed from most of the sidewalls of very narrow trenches, and in addition the nucleation rate of copper is suppressed on the upper parts of the sidewalls and the tops of the trenches, then extremely narrow trenches can be filled without voids or seams. One approach to suppressing the nucleation of copper is to react the copper (and manganese, if present) on the upper sidewalls with a reactant vapor, such as an alkanethiol. Once an alkanethiol is chemisorbed on the surface of the copper, applicants have found that further growth of copper by CVD is greatly retarded or even eliminated. Use of iodine removal followed by inactivation of the copper surface on the sidewalls is illustrated by Example 28.
Example 28
[0171] Example 27 is repeated using substrates having with very narrow trenches with aspect ratio greater than 20:1. Following the plasma-activated removal of the iodine from most of the trench walls, the surface is exposed briefly to a small amount of vapor of octanethiol. Subsequently, CVD copper-manganese is continued with the benefit of iodine catalyst from the bottom and lower sidewalls of the trenches. The trenches are filled with copper-manganese alloy without any voids or seams.
[0172] Those skilled in the art may make various modifications and additions without departing from the spirit and scope of the present contribution to the art.

Claims

We claim:
1. A process for forming an integrated circuit interconnect structure, said process comprising:
a) providing a partially-completed and planarized interconnect structure
comprising an electrically insulating surface and an electrically conductive, copper-containing surface;
b) depositing a protecting agent that comprises two or more compounds over said electrically insulating surface to synergistically reduce affinity of said electrically insulating surface to a precursor comprising manganese, cobalt, chromium or vanadium;
c) selectively depositing a metal selected from the group consisting of manganese, cobalt, chromium and vanadium on at least a part of the electrically conductive copper-containing surface.
2. The process as in claim 1, wherein said selectively depositing a metal is carried out by CVD or ALD.
3. The process as in claim 1, wherein said protecting agent reduces reactivity of said insulating surface with said precursor comprising manganese.
4. The process as in claim 1, wherein said protecting agent reduces reactivity of said insulating surface with said precursor comprising cobalt.
5. The process as in claim 1, wherein said protecting agent reduces reactivity of said insulating surface with said precursor comprising chromium.
6. The process as in claim 1, wherein said protecting agent reduces reactivity of said insulating surface with said precursor comprising vanadium.
7. The process as in claim 1, wherein said protecting agent is selectively deposited on said electrically insulating surface.
8. The process as in claim 7, wherein said protecting agent comprises two or more alkylsilanes.
9. The process as in claim 8, wherein the alkylsilanes comprise compounds with one or more dialkylamide groups bonded to silicon.
10. The process as in claim 9, wherein the compounds with one or more dialkylamide groups bonded to silicon comprise bis(N,N-dialkylamino)dialkylsilanes and (N,N- dialkylamino)trialkylsilanes.
1 1. A process for forming an integrated circuit interconnect structure, said process comprising:
a) providing a partially-completed interconnect structure having one or more vias and trenches, said vias and trenches comprising sidewalls defined by one or more electrically insulating materials and electrically conductive copper- containing bottom regions;
b) depositing a layer comprising a nitride of a metal selected from the group consisting of manganese, chromium and vanadium on the partially-completed interconnect structure;
c) depositing copper within said one or more vias and trenches.
12. The process of claim 1 1 , further comprising removing nitrogen from said layer comprising metal nitride prior to said depositing copper within said one or more vias and trenches.
13. The process as in claim 12 wherein said removing nitrogen is accomplished by contact of the structure with a hydrogen-containing plasma.
14. The process as in claim 1 1 wherein said depositing copper comprises electroplating or electroless plating from a liquid solution.
15. The process as in claim 1 1 wherein said depositing copper comprises deposition from the vapor phase by CVD or ALD.
16. The process as in claim 1 1 , wherein said layer comprising a nitride of a metal comprises manganese nitride.
17. A process comprising: depositing a metal containing layer from a vapor of a metal containing precursor, wherein the metal is selected from the group consisting of manganese, chromium and vanadium;
depositing an iodine or bromine containing material from a vapor of an iodine or bromine containing precursor, wherein the iodine or bromine containing material is chemisorbed on or in the metal containing layer; and
depositing a copper containing material from a vapor of a copper containing precursor, wherein the iodine or bromine containing material allows a catalytic deposition of copper containing material.
18. The process of claim 17, wherein said depositing an iodine or bromine containing material is carried out after said depositing a metal containing layer.
19. The process of claim 17, wherein the iodine or bromine containing material is chemisorbed on the surface of the metal containing layer.
20. The process of claim 17, wherein the metal containing layer is a manganese containing layer and the metal containing precursor is a manganese containing precursor.
21. The process of claim 20, wherein the manganese containing layer further contains nitrogen.
22. The process of claim 17, wherein the metal containing layer is a chromium containing layer and the metal containing precursor is a chromium containing precursor.
23. The process of claim 17, wherein the metal containing layer is vanadium containing layer and the metal containing precursor is a vanadium containing precursor.
24. The process of claim 17, further comprising:
depositing additional iodine or bromine containing material from a vapor of an iodine or bromine containing precursor onto said copper containing material; and
depositing additional copper containing material from a vapor of a copper containing precursor, wherein the additional iodine or bromine containing material allows a catalytic deposition of additional copper containing material.
25. The process of claim 24, wherein the additional copper containing material comprises copper and manganese.
26. The process of claim 24, wherein the additional copper containing material is co- deposited from a vapor of a manganese containing precursor.
27. The process of claim 20, wherein the manganese containing precursor comprises manganese amidinate.
28. The process of claim 27, wherein the manganese amidinate has the general structure
Figure imgf000044_0001
in which R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are selected from the group consisting of hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms.
29. The process of claim 28 wherein R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are independently selected from the group consisting of hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl, alkylamide and fluoroalkyl groups.
30. The process of claim 29, wherein the manganese amidinate has the structure
Figure imgf000044_0002
31. The process of claim 17, wherein the copper containing precursor comprises copper amidinate.
32. The process of claim 31, wherein the copper amidinate has the general structure
Figure imgf000045_0001
in which R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are selected from the group consisting of hydrogen, hydrocarbon groups, substituted hydrocarbon groups, and other groups of non-metallic atoms.
33. The process of claim 32, wherein R 1 , R 2 , R 3 , R 1' , R 2' and R 3' are independently selected from the group consisting of hydrogen, alkyl, aryl, alkenyl, alkynyl, trialkylsilyl, alkylamide and fluoroalkyl groups.
34. The process of claim 33, wherein the copper amidinate has the structure
Figure imgf000045_0002
35. The process of claim 17, wherein the copper is deposited on a partially-completed interconnect structure having one or more vias and trenches, said vias and trenches comprising sidewalls defined by one or more electrically insulating materials and electrically conductive copper-containing bottom regions.
36. The process of claim 35, wherein the deposited copper substantially fills said vias and trenches.
37. The process of claim 17, wherein the deposited copper has a manganese concentration in the range of about 0.1% to about 4%.
38. The process of claim 17, wherein the deposited copper has a manganese concentration in the range of about 0.2% to about 2%.
39. The process of claim 17, further comprising removing the iodine or bromine from at least a part of the surface of the copper-containing material.
40. The process of claim 39, wherein said removing the iodine or bromine includes an oxidation process.
41. The process of claim 40, wherein said oxidation process includes exposing the at least a part of the surface of the copper-containing material with an oxidant selected from the group consisting of hydrogen peroxide, sodium hypochlorite, sodium hypobromite, ozone, an oxygen plasma, and mixtures thereof.
42. The process of claim 40, wherein said removing the iodine or bromine further includes a reduction process.
43. The process of claim 42, wherein the reduction step includes a hydrogen plasma.
44. The process as in claim 39, further comprising modifying said at least a part of the surface of the copper-containing material after said removing the iodine or bromine to decrease deposition of copper. .
45. The process of claim 44, wherein said modifying includes reaction of the copper surface with an alkanethiol.
PCT/US2010/053391 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects WO2011050073A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
CN201080059054.5A CN102859662B (en) 2009-10-23 2010-10-20 For the self-aligned barrier layers that interconnects and capping layer
AU2010310750A AU2010310750B2 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects
JP2012535339A JP5809153B2 (en) 2009-10-23 2010-10-20 Interconnected self-aligned barrier and capping layer
KR1020167027441A KR101770538B1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects
KR1020167027439A KR101770537B1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects
EP10773203.4A EP2491579B1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects
KR1020127013168A KR101730203B1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25460109P 2009-10-23 2009-10-23
US61/254,601 2009-10-23
US38586810P 2010-09-23 2010-09-23
US61/385,868 2010-09-23

Publications (1)

Publication Number Publication Date
WO2011050073A1 true WO2011050073A1 (en) 2011-04-28

Family

ID=43414724

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2010/053391 WO2011050073A1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects

Country Status (8)

Country Link
US (4) US8569165B2 (en)
EP (1) EP2491579B1 (en)
JP (1) JP5809153B2 (en)
KR (3) KR101770538B1 (en)
CN (2) CN105304479B (en)
AU (1) AU2010310750B2 (en)
SG (1) SG10201503679UA (en)
WO (1) WO2011050073A1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012060428A1 (en) 2010-11-02 2012-05-10 宇部興産株式会社 (amide amino alkane) metal compound, method of manufacturing metal-containing thin film using said metal compound
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
JP2014125674A (en) * 2012-12-27 2014-07-07 Tokyo Electron Ltd Method of forming manganese-containing film, processing system, electronic device production method, and electronic device
KR20150000507A (en) * 2012-04-13 2015-01-02 어플라이드 머티어리얼스, 인코포레이티드 Methods for depositing manganese and manganese nitrides
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
CN107534014A (en) * 2015-05-22 2018-01-02 索尼公司 Semiconductor device, manufacture method, solid-state imaging element and electronic equipment
US10431544B2 (en) 2016-02-17 2019-10-01 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11456225B2 (en) 2020-04-14 2022-09-27 Corning Incorporated Method of manufacturing a glass article to provide increased bonding of metal to a glass substrate via the generation of a metal oxide layer, and glass articles such as glass interposers including the metal oxide layer
US20220372617A1 (en) * 2021-05-21 2022-11-24 Applied Materials, Inc. Catalyst Enhanced Molybdenum Deposition And Gap Fill
US11760682B2 (en) 2019-02-21 2023-09-19 Corning Incorporated Glass or glass ceramic articles with copper-metallized through holes and processes for making the same

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5507909B2 (en) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 Deposition method
CN105304479B (en) 2009-10-23 2018-06-01 哈佛大学校长及研究员协会 For the self-aligned barrier layers and capping layer of interconnection
JP5795520B2 (en) * 2011-11-14 2015-10-14 大陽日酸株式会社 Metal thin film material and metal thin film deposition method
JP2013104100A (en) * 2011-11-14 2013-05-30 Taiyo Nippon Sanso Corp Method for depositing metallic thin film and raw material for depositing metallic thin film
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
JP6041527B2 (en) * 2012-05-16 2016-12-07 キヤノン株式会社 Liquid discharge head
US8969197B2 (en) 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
WO2013191065A1 (en) * 2012-06-18 2013-12-27 東京エレクトロン株式会社 Method for forming film containing manganese
US8791005B2 (en) 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US9373579B2 (en) * 2012-12-14 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Protecting layer in a semiconductor structure
JP2014141739A (en) * 2012-12-27 2014-08-07 Tokyo Electron Ltd Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
TWI609095B (en) * 2013-05-30 2017-12-21 應用材料股份有限公司 Methods for manganese nitride integration
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9362228B2 (en) 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
US9257330B2 (en) * 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9275952B2 (en) * 2014-01-24 2016-03-01 International Business Machines Corporation Ultrathin superlattice of MnO/Mn/MnN and other metal oxide/metal/metal nitride liners and caps for copper low dielectric constant interconnects
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9263327B2 (en) * 2014-06-20 2016-02-16 Globalfoundries Inc. Minimizing void formation in semiconductor vias and trenches
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9728502B2 (en) * 2014-11-10 2017-08-08 Samsung Electronics Co., Ltd. Metal oxysilicate diffusion barriers for damascene metallization with low RC delays and methods for forming the same
US9711452B2 (en) 2014-12-05 2017-07-18 International Business Machines Corporation Optimized wires for resistance or electromigration
EP3067439B1 (en) 2015-03-13 2018-05-09 IMEC vzw Electroless metal deposition on a Mn or MnNx barrier
KR102328108B1 (en) 2015-05-08 2021-11-17 삼성전자주식회사 Wiring structures, methods of forming wiring structures and methods of manufacturing semiconductor devices
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163629B2 (en) 2015-11-16 2018-12-25 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US10273577B2 (en) 2015-11-16 2019-04-30 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9806018B1 (en) 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9881798B1 (en) 2016-07-20 2018-01-30 International Business Machines Corporation Metal cap integration by local alloying
US9929046B2 (en) 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
EP3282037B1 (en) 2016-08-09 2022-12-07 IMEC vzw Formation of a transition metal nitride
US10049974B2 (en) 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
CN106340488A (en) * 2016-11-30 2017-01-18 上海华力微电子有限公司 Preparation method of copper interconnection structure
TWI739984B (en) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 Schemes for selective deposition for patterning applications
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10643838B2 (en) * 2017-06-20 2020-05-05 Applied Materials, Inc. In-situ formation of non-volatile lanthanide thin film precursors and use in ALD and CVD
KR20200118504A (en) * 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
US11075113B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof
WO2020255913A1 (en) * 2019-06-17 2020-12-24 田中貴金属工業株式会社 Starting material for chemical vapor deposition composed of organic manganese compound, and chemical vapor deposition method using said starting material for chemical vapor deposition
CN110804731B (en) * 2019-11-04 2020-11-06 江南大学 Mn grown by atomic layer deposition technologyxN film method
CN113130384A (en) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 Method for forming semiconductor structure
US11251368B2 (en) 2020-04-20 2022-02-15 International Business Machines Corporation Interconnect structures with selective capping layer
KR102366555B1 (en) * 2021-01-05 2022-02-23 주식회사 이지티엠 Method of selective formation of thin film
US11846018B2 (en) 2021-02-08 2023-12-19 Macdermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation
KR20230126792A (en) * 2022-02-24 2023-08-31 주성엔지니어링(주) Method for Processing Substrate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3865708A (en) 1969-12-22 1975-02-11 Foxboro Co Apparatus for measuring ionic concentration
US4323608A (en) 1980-06-30 1982-04-06 Denny Russell W Label
WO2004046417A2 (en) 2002-11-15 2004-06-03 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
WO2007066277A2 (en) * 2005-12-07 2007-06-14 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US20070166989A1 (en) * 2005-05-18 2007-07-19 Intermolecular, Inc. Substrate processing including a masking layer
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7446708B1 (en) 2002-08-26 2008-11-04 Kyocera Wireless Corp. Multiband monopole antenna with independent radiating elements
WO2009117670A2 (en) * 2008-03-21 2009-09-24 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5128008A (en) * 1991-04-10 1992-07-07 International Business Machines Corporation Method of forming a microelectronic package having a copper substrate
US5417735A (en) * 1993-12-23 1995-05-23 Mcgarry; Dennis L. Interdiffused chromium/nickel corrosion-resistant coating for fiberglass spinner bores
US6077774A (en) 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US6060534A (en) 1996-07-11 2000-05-09 Scimed Life Systems, Inc. Medical devices comprising ionically and non-ionically crosslinked polymer hydrogels having improved mechanical properties
US6951682B1 (en) 1998-12-01 2005-10-04 Syntrix Biochip, Inc. Porous coatings bearing ligand arrays and use thereof
KR100383759B1 (en) 2000-06-15 2003-05-14 주식회사 하이닉스반도체 Method of forming a copper metal wiring in a semiconductor drvice
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6413815B1 (en) 2001-07-17 2002-07-02 Macronix International Co., Ltd. Method of forming a MIM capacitor
US20030143837A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Method of depositing a catalytic layer
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US20040067192A1 (en) * 2002-10-07 2004-04-08 The Procter & Gamble Company Conversion of sodium bromide to anhydrous hydrobromic acid and sodium bisulfate
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7026714B2 (en) 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
JP4478038B2 (en) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター Semiconductor device and manufacturing method thereof
DE102004019241A1 (en) 2004-04-16 2005-11-03 Cellmed Ag Injectable cross-linked and uncrosslinked alginates and their use in medicine and aesthetic surgery
JP2008519458A (en) 2004-11-08 2008-06-05 ティーイーエル エピオン インク. Copper interconnect wiring and method of forming the same
EP1909320A1 (en) 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP4236201B2 (en) 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4272191B2 (en) 2005-08-30 2009-06-03 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2007103546A (en) * 2005-10-03 2007-04-19 Nec Electronics Corp Semiconductor device and its manufacturing method
WO2007071497A1 (en) 2005-12-20 2007-06-28 Ciba Holding Inc. Oxime ester photoinitiators
US8217518B2 (en) * 2006-03-08 2012-07-10 Stmicroelectronics Asia Pacific Pte., Ltd. Enhancing metal/low-K interconnect reliability using a protection layer
JP4741965B2 (en) 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2007308789A (en) * 2006-04-19 2007-11-29 Tokyo Electron Ltd Film deposition apparatus and film deposition method
JP2008013848A (en) 2006-06-08 2008-01-24 Tokyo Electron Ltd Film-forming apparatus and film-forming method
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4810319B2 (en) * 2006-06-09 2011-11-09 キヤノン株式会社 Processing apparatus and device manufacturing method
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
US8440272B2 (en) * 2006-12-04 2013-05-14 Megica Corporation Method for forming post passivation Au layer with clean surface
JP5571547B2 (en) * 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ Cobalt nitride layers for copper interconnects and methods of forming them
DE102007035837A1 (en) 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device with a grain orientation layer
US7884475B2 (en) 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
JP2009164391A (en) * 2008-01-08 2009-07-23 Renesas Technology Corp Semiconductor device and method of manufacturing semiconductor device
US7555191B1 (en) * 2008-01-30 2009-06-30 Joshua John Edward Moore Self-locking unidirectional interposer springs for optical transceiver modules
US7651943B2 (en) 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
US8503186B2 (en) * 2009-07-30 2013-08-06 Megica Corporation System-in packages
CN105304479B (en) * 2009-10-23 2018-06-01 哈佛大学校长及研究员协会 For the self-aligned barrier layers and capping layer of interconnection
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3865708A (en) 1969-12-22 1975-02-11 Foxboro Co Apparatus for measuring ionic concentration
US4323608A (en) 1980-06-30 1982-04-06 Denny Russell W Label
US7446708B1 (en) 2002-08-26 2008-11-04 Kyocera Wireless Corp. Multiband monopole antenna with independent radiating elements
WO2004046417A2 (en) 2002-11-15 2004-06-03 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US20070166989A1 (en) * 2005-05-18 2007-07-19 Intermolecular, Inc. Substrate processing including a masking layer
WO2007066277A2 (en) * 2005-12-07 2007-06-14 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
WO2009117670A2 (en) * 2008-03-21 2009-09-24 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US20090263965A1 (en) 2008-03-21 2009-10-22 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
"Synthesis and characterization of volatile liquid cobalt amidinates", DALTON TRANSACTIONS OF THE ROYAL SOCIETY OF CHEMISTRY, 2008, pages 2592 - 2597
HOON KIM; HARISH B. BHANDARI; SHENG XU; ROY G. GORDON, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, vol. 155, no. 7, 2008, pages H496 - H503
INORGANIC CHEMISTRY, vol. 44, 2005, pages 1728 - 1735
SCIENCE, vol. 298, 2002, pages 402 - 406
YEUNG ET AL.: "Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping Layer for Cu Interconnections in Microelectronics", JOURNAL OF THE ELECTROCHENICAL SOCIETY, vol. 157, no. 6, 26 April 2010 (2010-04-26), pages D341 - D345, XP002616930 *
ZHENGWEN LI; ANTTI RAHTU; ROY G. GORDON, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, vol. 153, 2006, pages C787 - C794
ZHENGWEN LI; ROY G. GORDON, CHEMICAL VAPOR DEPOSITION, vol. 12, 2006, pages 435 - 441

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8871304B2 (en) 2010-11-02 2014-10-28 Ube Industries, Ltd. (Amide amino alkane) metal compound, method of manufacturing metal-containing thin film using said metal compound
WO2012060428A1 (en) 2010-11-02 2012-05-10 宇部興産株式会社 (amide amino alkane) metal compound, method of manufacturing metal-containing thin film using said metal compound
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
US8841770B2 (en) 2011-09-28 2014-09-23 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
KR20150000507A (en) * 2012-04-13 2015-01-02 어플라이드 머티어리얼스, 인코포레이티드 Methods for depositing manganese and manganese nitrides
KR102189781B1 (en) * 2012-04-13 2020-12-11 어플라이드 머티어리얼스, 인코포레이티드 Methods for depositing manganese and manganese nitrides
JP2014125674A (en) * 2012-12-27 2014-07-07 Tokyo Electron Ltd Method of forming manganese-containing film, processing system, electronic device production method, and electronic device
CN107534014B (en) * 2015-05-22 2022-04-12 索尼公司 Semiconductor device, manufacturing method, solid-state imaging element, and electronic apparatus
CN107534014A (en) * 2015-05-22 2018-01-02 索尼公司 Semiconductor device, manufacture method, solid-state imaging element and electronic equipment
US11776923B2 (en) 2015-05-22 2023-10-03 Sony Corporation Semiconductor device, manufacturing method, solid state image sensor, and electronic equipment
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US10224283B2 (en) 2015-12-19 2019-03-05 International Business Machines Corporation Composite manganese nitride / low-k dielectric cap
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10431544B2 (en) 2016-02-17 2019-10-01 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US11201109B2 (en) 2018-04-09 2021-12-14 Corning Incorporated Hermetic metallized via with improved reliability
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11760682B2 (en) 2019-02-21 2023-09-19 Corning Incorporated Glass or glass ceramic articles with copper-metallized through holes and processes for making the same
US11456225B2 (en) 2020-04-14 2022-09-27 Corning Incorporated Method of manufacturing a glass article to provide increased bonding of metal to a glass substrate via the generation of a metal oxide layer, and glass articles such as glass interposers including the metal oxide layer
US11756847B2 (en) 2020-04-14 2023-09-12 Corning Incorporated Method of manufacturing a glass article to provide increased bonding of metal to a glass substrate via the generation of a metal oxide layer, and glass articles such as glass interposers including the metal oxide layer
US20220372617A1 (en) * 2021-05-21 2022-11-24 Applied Materials, Inc. Catalyst Enhanced Molybdenum Deposition And Gap Fill
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill

Also Published As

Publication number Publication date
KR20160119874A (en) 2016-10-14
JP2013508979A (en) 2013-03-07
US8569165B2 (en) 2013-10-29
US9390971B2 (en) 2016-07-12
KR101770538B1 (en) 2017-08-22
US9112005B2 (en) 2015-08-18
US20110163062A1 (en) 2011-07-07
KR20160119279A (en) 2016-10-12
AU2010310750A1 (en) 2012-06-07
US20150325474A1 (en) 2015-11-12
CN105304479B (en) 2018-06-01
EP2491579B1 (en) 2019-03-13
US20140045331A1 (en) 2014-02-13
SG10201503679UA (en) 2015-06-29
US20170012001A1 (en) 2017-01-12
CN102859662B (en) 2015-11-25
KR101770537B1 (en) 2017-08-22
KR101730203B1 (en) 2017-04-25
EP2491579A1 (en) 2012-08-29
AU2010310750B2 (en) 2015-02-26
JP5809153B2 (en) 2015-11-10
CN105304479A (en) 2016-02-03
CN102859662A (en) 2013-01-02
KR20120085834A (en) 2012-08-01

Similar Documents

Publication Publication Date Title
US9390971B2 (en) Self-aligned barrier and capping layers for interconnects
US7932176B2 (en) Self-aligned barrier layers for interconnects
US8461684B2 (en) Cobalt nitride layers for copper interconnects and methods for forming them
US20070099417A1 (en) Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TWI559402B (en) Atomic layer deposition of a copper-containing seed layer
US9382627B2 (en) Methods and materials for anchoring gapfill metals
AU2013204553A1 (en) Self-aligned barrier and capping layers for interconnects

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080059054.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10773203

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2012535339

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2010310750

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 2010773203

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20127013168

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2010310750

Country of ref document: AU

Date of ref document: 20101020

Kind code of ref document: A