WO2011051251A1 - Etching process for producing a tft matrix - Google Patents

Etching process for producing a tft matrix Download PDF

Info

Publication number
WO2011051251A1
WO2011051251A1 PCT/EP2010/066109 EP2010066109W WO2011051251A1 WO 2011051251 A1 WO2011051251 A1 WO 2011051251A1 EP 2010066109 W EP2010066109 W EP 2010066109W WO 2011051251 A1 WO2011051251 A1 WO 2011051251A1
Authority
WO
WIPO (PCT)
Prior art keywords
volume
mixture
etching
argon
silicon
Prior art date
Application number
PCT/EP2010/066109
Other languages
French (fr)
Inventor
Marcello Riva
Original Assignee
Solvay Fluor Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solvay Fluor Gmbh filed Critical Solvay Fluor Gmbh
Priority to JP2012535779A priority Critical patent/JP2013508990A/en
Priority to CN2010800509172A priority patent/CN102754201A/en
Publication of WO2011051251A1 publication Critical patent/WO2011051251A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Definitions

  • the present invention relates to a process for producing a thin film transistor (TFT) matrix for a liquid crystal display (LCD), and more particularly to a simplified back-channel-etch process for forming the TFT matrix with reduced masking steps and to gas mixtures, in particular suitable as etching gas for such process.
  • TFT thin film transistor
  • LCD liquid crystal display
  • the manufacture of a TFT matrix includes several steps of forming certain layers of matter, e.g. photoresist layers, conductive layers, etch stopper layers, semiconductor layers, and passivation layers. These layers are applied and then etched to obtain the TFT matrix. As is mentioned in US 6,406,928, etching of the passivation layer can be performed using trif uoromethane, while
  • semiconductor layers can be etched using carbon tetraf uoride, boron trichloride, chlorine, sulfur hexaf uoride or a mixture thereof.
  • etching agents have disadvantages.
  • trifluoromethane, carbon tetrafluoride and sulfur hexafluoride are considered disadvantageous for ecological reasons.
  • Object of the present invention is to provide an improved process for the manufacture of a thin film transistor (TFT) matrix for a liquid crystal display (LCD) and to provide an improved etching gas useful in his process.
  • TFT thin film transistor
  • LCD liquid crystal display
  • the process of the present invention for the manufacture of a TFT matrix includes at least one step wherein a layer is etched with a gaseous etching agent and wherein the etching agent comprises carbonyl fluoride (COF2), F2 or a mixture thereof.
  • COF2 carbonyl fluoride
  • Fluorine (F2) has no GWP and no impact on the ozone layer. It is very reactive, but not very selective, and thus, should be applied in diluted form. It can be used, for example, to etch tungsten (W).
  • Carbonyl fluoride has the advantage that it has a GWP of 1 and no impact on the ozone layer. It is very suitable in the frame of the present invention and is the preferred etching gas in the process of the present invention. In one particular embodiment, in particular when an etching gas comprising carbonyl fluoride is used, the etching gas is preferably free of elemental fluorine.
  • the etching agent comprises or consists of carbonyl fluoride. In another embodiment ; the etching agent comprises or consists of fluorine.
  • This embodiment is especially suitable for the fast etching of amorphous silicon or silicon nitride.
  • Mixtures comprising or consisting of fluorine or carbonyl fluoride and nitrogen or argon are very suitable for the etching of amorphous silicon or silicon nitride, and especially for the etching of silicon nitride.
  • carbonyl fluoride mixtures with at least one gas selected from the group consisting of nitrogen, argon, N 2 0 and oxygen is used as etching gas in the process according to the invention.
  • a mixture comprising or consisting of carbonyl fluoride, oxygen and argon is applied as etching gas.
  • a mixture comprising or consisting of carbonyl fluoride, N 2 0 and argon is applied as etching gas.
  • the etching step is plasma-assisted.
  • the process according to the invention is advantageously used when a layer formed of a material selected from the group consisting of silicon nitride, silicon oxide, silicon oxynitride and a combination of two or more thereof is etched. More advantageously, the process according to the invention is used when the layer comprises or consists of silicon nitride.
  • mixtures comprising carbonyl fluoride and N 2 0 and optionally argon and optionally oxygen are applied to the selective etching of a layer comprising or consisting of silicon nitride, silicon oxynitride and a combination of both on a layer of a-Si.
  • mixtures comprising or especially consisting of carbonyl fluoride, N 2 0 and argon, or mixtures comprising or especially consisting of carbonyl fluoride, N 2 0, oxygen and argon are applied.
  • Silicon is a fourfold coordinated atom that is normally tetrahedrally bonded to four neighboring silicon atoms. In crystalline silicon this tetrahedral structure continues over a large range, thus forming a well-ordered crystal lattice.
  • amorphous silicon denoted as a-Si or a-Si
  • this long range order is not present. Rather, the atoms form a continuous random network. Moreover, not all the atoms within amorphous silicon are fourfold coordinated. Due to the disordered nature of the material some atoms have a dangling bond.
  • a-Si denotes silicon in which the silicon atoms form a continuous random network.
  • N 2 0, oxygen or a mixture of N 2 0 and oxygen provides for the selectivity of the etch : when the silicon nitride layer which coats the a-Si layer is etched away, and the layer of a-Si comes into contact with the etching gas mixture, a-Si on the surface of the layer is oxidized in contact with N 2 0 and thus is passivated because a silicon oxide layer forms which protects the a-Si from being etched.
  • the process according to the invention is applied to etching a layer formed from a material selected from the group consisting of intrinsic amorphous silicon, micro-crystalline silicon and polysilicon.
  • Microcrystalline silicon also called nanocrystalline silicon contains small crystals. It absorbs a broader spectrum of light and is flexible.
  • Polycrystalline silicon or semi-crystalline silicon, polysilicon, poly-Si is a material consisting of multiple small silicon crystals.
  • the process according to the invention is applied to etching a layer formed of a material selected from the group consisting of highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon is etched.
  • etch gas consisting of carbonyl fluoride, fluorine, or, preferably, by using mixtures consisting of carbonyl fluoride and argon and optionally nitrogen.
  • i is possible to perform a selective etching of silicon nitride, silicon oxynitride or mixtures thereof which are present as a coating over the intrinsic amorphous silicon, micro-crystalline silicon and polysilicon, highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon by using
  • mixtures comprising carbonyl fluoride and N 2 0 and argon which provide a passivation of said Si when being in contact with the gas mixtures • mixtures comprising carbonyl fluoride, N2O and oxygen optionally in the presence of argon which provide a passivation of said Si when being in contact with the gas mixtures ;
  • a 6-mask process for example, may include steps of :
  • TAB tape automated bonding
  • ITO indium tin oxide
  • a substrate is provided made of an insulating material ; a first conductive layer is formed on a first side of the substrate, and a first masking and patterning procedure is used to remove a portion of the first conductive layer to define a scan line and a gate electrode of a TFT unit ; then, an insulation layer, a semiconductor layer, a doped semiconductor layer and a photoresist layer are successively formed on the substrate with the scan line and the gate electrode ; an exposing source is provided from a second side of the substrate opposite to the first side by using the scan line and the gate electrode as shields to obtain an exposed area and an unexposed area ; then the photoresist layer and the semiconductor layers of the exposed area are removed so that the remaining portion of the semiconductor layers in the unexposed area has a specific shape similar to the shape of the scan line together with the gate electrode ; a transparent conductive layer and a second conductive layer
  • the insulating material is a light-transmitting material such as glass.
  • each of the first and second conductive layers is formed of chromium, molybdenum, tantalum, tantalum molybdenum, tungsten molybdenum, aluminium, aluminium silicide, copper, or a combination thereof.
  • Etchants for these metals are known. Chromium and molybdenum can be etched by CCl 4 /0 2 plasma, copper by treatment with Cl 2 plasma and subsequently with a H 2 plasma, aluminium with a BCI3 plasma, tungsten with an F 2 plasma.
  • the insulation layer is formed of silicon nitride, silicon oxide, silicon oxynitride or a combination thereof.
  • the etch stopper layer is formed of silicon nitride, silicon oxide, or silicon oxynitride.
  • the semiconductor layer is formed of intrinsic amorphous silicon, micro-crystalline silicon or polysilicon
  • the doped semiconductor layer is formed of highly doped amorphous silicon, highly doped micro- crystalline silicon or highly doped polysilicon.
  • the transparent conductive layer is formed of indium tin oxide, indium zinc oxide or indium lead oxide.
  • the indium tin oxide (“ITO”) layer can be etched using HBr, optionally together with BCI3.
  • Indium zinc oxide (“IZO”) can be etched using an Ar/Cl 2 plasma.
  • the passivation layer is formed of silicon nitride or silicon oxynitride.
  • the third masking and patterning procedure additionally defines a plurality of TAB pad regions around the TFT matrix.
  • a portion of the second conductive layer surrounding the pixel electrode remains as a black matrix.
  • Etching gases containing carbonyl fluoride are suitable for performing the etching in the above mentioned steps of etching layers (passivating layers, insulating layers, and semiconductor layers). With etching gases containing carbonyl fluoride it is possible to create an isolation window as is outlined in figure 21 of US 6,406,928 under the reference sign 28.
  • the etching is expediently performed under plasma ; the plasma can be direct plasma (in situ plasma) or a remote plasma or a combination of both.
  • Carbonyl fluoride can be applied as neat substance or in admixture with other active or inert gases, for example, with nitrogen or helium. It is preferably applied together with argon. If a layer of silicon nitride has to be etched selectively over a layer of a-silicon or other forms of silicon, the etching gas mixture comprises additionally oxygen and/or N 2 0 ; nitrogen is not necessary. As mentioned above, oxygen and nitrogen oxide provide a passivating layer of silicon oxide on the layer of a-silicon as soon as the coating layer of silicon nitride is etched away.
  • carbonyl fluoride preferably may be contained in an amount of equal to or more than 50 % by volume, preferably equal to or less than 79 % by volume. The remainder to 100 % by volume is preferably constituted by oxygen, argon and/or N 2 0.
  • Mixtures comprising or consisting of carbonyl fluoride and argon are preferably applied for fast etching ; mixtures comprising or consisting of carbonyl fluoride and N 2 0, mixtures comprising or consisting of carbonyl fluoride and oxygen, mixtures comprising or consisting of carbonyl fluoride, oxygen and argon, mixtures comprising or consisting of carbonyl fluoride, N 2 0 and argon, and mixtures comprising carbonyl fluoride, oxygen, nitrogen oxide and argon are very preferably applied as etching gas for selective etching of layers which coat silicon, especially for silicon nitride layers which coat a-silicon.
  • the content of carbonyl fluoride may preferably be equal to or greater than 50 % by volume especially in the beginning of the selective etching of the silicon nitride layer when there is no risk that the a-silicon comes into contact with the etching gas. Even neat carbonyl fluoride or a mixture of carbonyl fluoride with argon, without passivating oxygen or passivating N 2 0 may be applied. In later stages of the etching process when the layer of nitrogen oxide is partially etched away, carbonyl fluoride preferably may be contained in an amount of equal to or less than 50 % by volume, and in an amount preferably equal to or more than 15 % by volume. N 2 0, and if present, oxygen and argon, respectively, are the balance to 100 % by volume. Hereby it is safeguarded that the silicon nitride is etched selectively over a-silicon.
  • the concentration of F 2 or COF 2 in the initial stage of the etching process is higher than in the final stage.
  • the invention also relates to certain mixtures comprising or consisting of carbonyl fluoride or fluorine and N 2 0 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 50 % by volume ; and to mixtures comprising or consisting of carbonyl fluoride or fluorine, oxygen and N 2 0 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 50 % by volume. These mixtures preferably are produced in situ in a tool wherein they are applied.
  • fluorine gas or carbonyl fluoride and N 2 0 and optionally argon are fed to the tool which may, for example, be an etching chamber for TFTs or photovoltaic cells.
  • these mixtures can be prepared in a conventional manner by providing them into a container, preferably under a pressure of equal to or greater than 1.5 bar (abs.) and preferably equal to or lower than 15 bar (abs.).
  • the mixtures preferably have a pressure of 0.1 mbar (abs.) to 15 bar (abs.).
  • carbonyl fluoride is the preferred etching agent.
  • the invention also relates to certain mixtures comprising or consisting of carbonyl fluoride or fluorine and N 2 0 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or lower than 50 % by volume ; and to certain mixtures comprising or consisting of carbonyl fluoride or fluorine, oxygen and N 2 0 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or lower than 50 % by volume. These mixtures preferably are produced in situ in a tool wherein they are applied.
  • Appropriate amounts of fluorine gas or carbonyl fluoride and N 2 0 are fed to the tool which may, for example, be an etching chamber for TFTs or photovoltaic cells.
  • the content of F 2 or COF 2 in this embodiment is preferably equal to or greater than 15 % by volume.
  • the mixtures preferably have a pressure of 0.1 mbar (abs.) to 15 bar (abs.). These mixtures are very suitable in the final stages of a process for the selective etching of silicon nitride layers, especially of silicon layers over a- silicon when the a-silicon is close to a contact with the etching gas.
  • the mixture according to the invention is a mixture comprising or consisting of carbonyl fluoride and N 2 0 or a mixture consisting of carbonyl fluoride, N 2 0 and argon.
  • the COF 2 content is generally equal to or greater than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 1.
  • the mixture according to the invention is a mixture comprising or consisting of carbonyl fluoride and N 2 0 or a mixture consisting of carbonyl fluoride, N 2 0 and argon.
  • the COF 2 content is generally equal to or lower than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • the content of carbonyl fluoride is preferably equal to or greater than 15 % by volume.
  • the mixtures according to the invention further comprise oxygen.
  • the content of carbonyl fluoride is as given above
  • the content of argon is preferably 0 to 20 % by volume
  • the sum of the content of oxygen and N 2 0 in the gas mixture is is the balance to 100 % by volume.
  • the content of oxygen and N 2 0 sum up to the balance to 100 % by volume.
  • the content of oxygen is > 0 % by volume
  • the content of N 2 0 is > than 0.
  • the molar ratio of 0 2 : N 2 0 is 0.1 : 1 to 1 :0.1.
  • the mixture may also comprise nitrogen ; preferably, they do not contain nitrogen.
  • the content of carbonyl fluoride is equal to or greater than 50 % by volume. Preferably, it is equal to or lower than 90 % by volume.
  • the oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume.
  • N 2 0 and, if present, argon are the balance to 100 % by volume.
  • the content of carbonyl fluoride is ⁇ 50 % by volume. Preferably, it is equal to or greater than 15 % by volume.
  • the oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume.
  • N 2 0 and, if present, argon are the balance to 100 % by volume.
  • mixtures of the present invention are liquid mixtures comprising carbonyl fluoride and N 2 0 and optionally other gases, e.g. nitrogen or especially argon or oxygen.
  • the mixtures are gaseous.
  • the pressure may be equal to or greater than 0.1 mbar (abs) up to equal to or lower than 15 bar (abs.).
  • the gas mixtures preferably have a pressure of equal to or greater than 0.1 mbar (abs) up to equal to or lower than 1 bar (abs.) if the are provided or prepared in situ in the etching tool. They preferably have a pressure of > 1 bar (abs.) to equal to or lower than 15 bar (abs.) if they are stored in a storage container.
  • the mixture according to the invention is a mixture comprising or consisting of fluorine and N 2 0 or a mixture consisting of fluorine, N 2 0 and argon.
  • the F 2 content is generally equal to or greater than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • the mixture according to the invention is a mixture comprising or consisting of fluorine and N 2 0 or a mixture consisting of fluorine, N 2 0 and argon.
  • the F 2 content is generally equal to or lower than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • the content of fluorine preferably is equal to or greater than 25 % by volume.
  • the mixture according to the invention comprising fluorine further comprises oxygen.
  • the content of oxygen in the gas mixture is generally from>0 to 20 by volume and N 2 0 and, if present, argon, is the balance to 100 % by volume.
  • the mixture according to the invention is a mixture comprising or consisting of F 2 and N 2 0 or a mixture consisting of F 2 , N 2 0 and argon.
  • the F 2 content is generally equal to or greater than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 5.
  • Table 5 Etching gas mixtures with F 2 > 50 % by volume (amounts given in % by volume)
  • the mixture according to the invention is a mixture comprising or consisting o F 2 and N 2 0 or a mixture consisting of F 2 , N 2 0 and argon.
  • the F 2 content is generally equal to or lower than 50 % by volume.
  • the content of argon preferably is 0 to 20 % by volume.
  • N 2 0 and N 2 0 and argon, respectively, constitute the balance to 100 % by volume.
  • the content of F 2 is preferably equal to or greater than 15 % by volume.
  • the mixtures according to the invention further comprise oxygen.
  • the content of F 2 is as given above, the content of argon is preferably 0 to 20 % by volume, and the sum of the content of oxygen and N 2 0 in the gas mixture is is the balance to 100 % by volume.
  • the contents of oxygen and N 2 0 sum up to the balance to 100 % by volume.
  • the content of oxygen is > 0 % by volume, and also the content of N 2 0 is > than 0.
  • the molar ratio of 0 2 : N 2 0 is 0.1 : 1 to 1 :0.1.
  • the mixture may also comprise nitrogen ; preferably, they do not contain nitrogen.
  • the content of F 2 is equal to or greater than 50 % by volume. Preferably, it is equal to or lower than 90 % by volume.
  • the oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume.
  • N 2 0 and, if present, argon are the balance to 100 % by volume.
  • the content of F 2 is ⁇ 50 % by volume. Preferably, it is equal to or greater than 15 % by volume.
  • the oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume.
  • N 2 0 and, if present, argon are the balance to 100 % by volume.
  • compositions indicated in the above tables 1 to 8 are preferred compositions but which can also be the upper or lower limit of a range of preferred compositions. As such the limits in the table are combinable to disclose preferred ranges of compositions according to the invention.
  • An empty field discloses 0 vol % of the respective gas.
  • the mixtures are gaseous unless they cooled to condense the F 2 .
  • the pressure may be equal to or greater than 0.1 mbar (abs) up to equal to or lower than 15 bar (abs.).
  • the gas mixtures preferably have a pressure of equal to or greater than 0.1 mbar (abs) up to equal to or lower than 1 bar (abs.) if they are provided or prepared in situ in the etching tool. They preferably have a pressure of > 1 bar (abs.) to equal to or lower than 15 bar (abs.) if they are stored in a storage container.
  • the mixtures can be prepared in the tool in situ by providing respective separate gas streams into the tool. Alternatively, they can be premixed before feeding them into the tool.
  • mixtures obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon are excluded, and preferably mixtures having a pressure of 1 mbar obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon are excluded.
  • the invention also concerns the use of the mixture according to the invention, as etching gas or cleaning gas.
  • the mixtures are suitably used to etch a material preferably selected from the group consisting of silicon nitride, silicon oxide or silicon oxynitride, a-Si intrinsic amorphous silicon, micro-crystalline silicon and polysilicon,highly doped amorphous silicon, highly doped micro- crystalline silicon and highly doped polysilicon. They are particularly suitable in the process according to the invention.
  • the invention also concerns the use of the mixture according to the invention as SF 6 replacement or NF 3 replacement.
  • Carbonyl fluoride and any other gases applied jointly can be introduced separately from each other into the plasma chamber.
  • carbonyl fluoride is mixed with other gases, e.g. nitrogen, oxygen, argon and/or N 2 0, before being introduced into the plasma chamber.
  • gases e.g. nitrogen, oxygen, argon and/or N 2 0
  • Introducing a homogenous premix is preferred because it guarantees fixed conditions to start the in situ plasma in the plasma chamber.
  • the layer forming steps and etching steps can be performed in known apparatus, for example, in PECVD tools of AKT, Inc, a subsidiary of Applied Materials, Inc.
  • the plasma-induced etching treatment is often performed at reduced pressure. Pressure is given in the following in absolute values.
  • the pressure is equal to or higher than 0.1 mbar. Preferably, it is equal to or lower than 100 mbar. Especially preferably, it is equal to or lower than 50 mbar.
  • the etching treatment is performed for a time which is sufficient to provide the desired degree of etching.
  • the treatment is performed for equal to or more than 1 second.
  • the treatment is performed for equal to or less than 10 minutes, preferably for equal to or less than 5 minutes.
  • the gases leaving the plasma reactor comprise unreacted etchant, HF, SiF 4 or metal fluorides and other reaction products.
  • the off gas can be washed with water, especially alkaline water, to remove any HF, carbonyl fluoride, SiF 4 or fluorine, and precipitate metal fluorides. Any oxygen, nitrogen, helium or argon passing the washer can be recovered or passed to the environment.
  • the simple removal of HF, carbonyl fluoride and fluorine in alkaline water or by other well- known methods compared with other etching gases is a further advantage.
  • Example 1 Production of an etchant gas mixture containing oxygen
  • Carbonyl fluoride, oxygen and argon in a volume ratio of 70, 10 and 20 are introduced under pressure into a steel cylinder.
  • the gas mixture can be applied as etching composition for TFT matrices.
  • Example 2 Production of an etchant gas mixture containing N 2 0
  • Carbonyl fluoride, N2O and argon in a volume ratio of 70, 20 and 10 are introduced under pressure into a steel cylinder.
  • the gas mixture can be applied as etching composition for TFT matrices.
  • SiN x is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist brought into a plasma etch tool.
  • the tool is evacuated, the gas mixture of example 2 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the SiN x is etched.
  • Example 4 Etching of SiN x with premixed gas containing oxygen
  • SiN x is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist brought into a plasma etch tool.
  • the tool is evacuated, the gas mixture of example 1 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the SiN x is etched.
  • SiN x is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist and brought into a plasma etch tool.
  • the tool is evacuated.
  • Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool.
  • the resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the SiN x is etched.
  • SiN x is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist and brought into a plasma etch tool.
  • the tool is evacuated.
  • Carbonyl fluoride, N 2 0 and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 20 and 10 into a common line which is connected to the plasma tool.
  • the resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the SiN x is etched.
  • Si0 2 is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist brought into a plasma etch tool.
  • the tool is evacuated, the gas mixture of example 2 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the Si0 2 is etched.
  • Example 8 Etching of Si0 2 with oxygen containing gas mixture produced immediately before its application Si0 2 is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The Si0 2 is etched.
  • Si0 2 is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist and brought into a plasma etch tool.
  • the tool is evacuated.
  • Carbonyl fluoride, N 2 0 and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 20 and 10 into a common line which is connected to the plasma tool.
  • the resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the Si0 2 is etched.
  • Amorphous silicon is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist and brought into a plasma etch tool.
  • the tool is evacuated, the gas mixture of example 1 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on.
  • Example 11 Etching of amorphous silicon with oxygen containing gas mixture produced immediately before its application
  • Amorphous silicon is deposited via a PECVD process on a glass plate.
  • the plate is then patterned with a photoresist and brought into a plasma etch tool.
  • the tool is evacuated.
  • Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool.
  • the resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool.
  • the silicon is etched.
  • Example 12 Etching of a silicon nitride layer over a layer of amorphous silicon with F 2 /N 2 0
  • a layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
  • pure F 2 is supplied to the etching chamber with a flow rate of 200 seem.
  • a high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool.
  • N 2 0 is additionally passed into the tool with a flow rate of 40 to 60 seem.
  • the flow of N 2 0 is increased to 500 seem. The etching process can be stopped when the desired etching of silicon nitride is achieved.
  • Example 13 Etching of a silicon nitride layer over a layer of amorphous silicon with F 2 /N 2 0 in the presence of argon
  • a layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
  • F 2 and argon are supplied to the etching chamber with a flow rate of 200 seem (F 2 ) and 40 seem (argon).
  • a high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool.
  • N 2 0 is additionally passed into the tool with a flow rate of 40 to 60 seem.
  • the flow of N 2 0 is increased to 500 seem.
  • the etching process can be stopped when the desired etching of silicon nitride is achieved.
  • Example 14 Etching of a silicon nitride layer over a layer of amorphous silicon with COF 2 /N 2 O
  • a layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
  • pure COF 2 is supplied to the etching chamber with a flow rate of 200 seem.
  • a high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool.
  • N 2 O is additionally passed into the tool with a flow rate of 40 to 60 seem and is increased to 600 seem.
  • the etching process can be stopped when the desired etching of silicon nitride is achieved.
  • Example 15 Etching of a silicon nitride layer over a layer of amorphous silicon with COF 2 /N 2 O in the presence of argon
  • a layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
  • COF 2 and argon are supplied to the etching chamber with a flow rate of 200 seem (F 2 ) and 40 seem (argon).
  • a high frequency power of 600 Watt at 13.56 MHz is supplied to t he plasma tool.
  • N 2 O is additionally passed into the tool with a flow rate of 40 to 60 seem and the flow is gradually increased to 600 seem.
  • the etching process can be stopped when the desired etching of silicon nitride is achieved.
  • premixed gas mixtures is that high homogeneity is safeguarded, and the application is simpler, obviating the mixing of the constituents.
  • the advantage of using gas mixtures immediately produced before their introduction into the plasma tool is a higher flexibility and preciseness concerning the amounts of the constituents.
  • the etching of silicon nitride layers on amorphous silicon may be performed advantageously by initially applying etching gas with a higher concentration of COF 2 or F 2 and adding N 2 0 and/or oxygen in later stages of the etching process as described above.

Abstract

A thin film transistor (TFT) matrix for a liquid crystal display (LCD) can be prepared by performing several steps of forming layers, and several steps of partially etching layers. Fluorine and preferably carbonyl fluoride, preferably together with oxygen, N2O and/or argon, are used as etching gases. The invention also concerns a gas mixture consisting of F2 or carbonyl fluoride, N2O and optionally argon.

Description

Etching process for producing a TFT matrix
The present patent application claims benefit of European Patent
Appl. No. 09174034.0 filed on October 26, 2009, the entire contents of which is incorporated by reference into the present patent application.
The present invention relates to a process for producing a thin film transistor (TFT) matrix for a liquid crystal display (LCD), and more particularly to a simplified back-channel-etch process for forming the TFT matrix with reduced masking steps and to gas mixtures, in particular suitable as etching gas for such process.
The manufacture of a TFT matrix includes several steps of forming certain layers of matter, e.g. photoresist layers, conductive layers, etch stopper layers, semiconductor layers, and passivation layers. These layers are applied and then etched to obtain the TFT matrix. As is mentioned in US 6,406,928, etching of the passivation layer can be performed using trif uoromethane, while
semiconductor layers can be etched using carbon tetraf uoride, boron trichloride, chlorine, sulfur hexaf uoride or a mixture thereof.
These etching agents have disadvantages. For example, trifluoromethane, carbon tetrafluoride and sulfur hexafluoride are considered disadvantageous for ecological reasons.
Object of the present invention is to provide an improved process for the manufacture of a thin film transistor (TFT) matrix for a liquid crystal display (LCD) and to provide an improved etching gas useful in his process. This object and other objects are achieved by the process and the etching gas mixture of the present invention.
The process of the present invention for the manufacture of a TFT matrix includes at least one step wherein a layer is etched with a gaseous etching agent and wherein the etching agent comprises carbonyl fluoride (COF2), F2 or a mixture thereof.
Fluorine (F2) has no GWP and no impact on the ozone layer. It is very reactive, but not very selective, and thus, should be applied in diluted form. It can be used, for example, to etch tungsten (W).
Carbonyl fluoride has the advantage that it has a GWP of 1 and no impact on the ozone layer. It is very suitable in the frame of the present invention and is the preferred etching gas in the process of the present invention. In one particular embodiment, in particular when an etching gas comprising carbonyl fluoride is used, the etching gas is preferably free of elemental fluorine.
In one embodiment ; the etching agent comprises or consists of carbonyl fluoride. In another embodiment ; the etching agent comprises or consists of fluorine.
This embodiment is especially suitable for the fast etching of amorphous silicon or silicon nitride.
Mixtures comprising or consisting of fluorine or carbonyl fluoride and nitrogen or argon are very suitable for the etching of amorphous silicon or silicon nitride, and especially for the etching of silicon nitride.
In one particular embodiment, carbonyl fluoride mixtures with at least one gas selected from the group consisting of nitrogen, argon, N20 and oxygen is used as etching gas in the process according to the invention.
In a first aspect of this embodiment, a mixture comprising or consisting of carbonyl fluoride, oxygen and argon is applied as etching gas. In a second aspect of this embodiment, a mixture comprising or consisting of carbonyl fluoride, N20 and argon is applied as etching gas.
In a particular aspect of the process according to the invention, the etching step is plasma-assisted.
The process according to the invention is advantageously used when a layer formed of a material selected from the group consisting of silicon nitride, silicon oxide, silicon oxynitride and a combination of two or more thereof is etched. More advantageously, the process according to the invention is used when the layer comprises or consists of silicon nitride. In a specific aspect of the process according to the invention, mixtures comprising carbonyl fluoride and N20 and optionally argon and optionally oxygenare applied to the selective etching of a layer comprising or consisting of silicon nitride, silicon oxynitride and a combination of both on a layer of a-Si. Preferably, mixtures comprising or especially consisting of carbonyl fluoride, N20 and argon, or mixtures comprising or especially consisting of carbonyl fluoride, N20, oxygen and argon are applied. Silicon is a fourfold coordinated atom that is normally tetrahedrally bonded to four neighboring silicon atoms. In crystalline silicon this tetrahedral structure continues over a large range, thus forming a well-ordered crystal lattice.
In amorphous silicon, denoted as a-Si or a-Si, this long range order is not present. Rather, the atoms form a continuous random network. Moreover, not all the atoms within amorphous silicon are fourfold coordinated. Due to the disordered nature of the material some atoms have a dangling bond.
Consequently, the term "a-Si" denotes silicon in which the silicon atoms form a continuous random network.
The presence of N20, oxygen or a mixture of N20 and oxygen provides for the selectivity of the etch : when the silicon nitride layer which coats the a-Si layer is etched away, and the layer of a-Si comes into contact with the etching gas mixture, a-Si on the surface of the layer is oxidized in contact with N20 and thus is passivated because a silicon oxide layer forms which protects the a-Si from being etched.
In still another aspect, the process according to the invention is applied to etching a layer formed from a material selected from the group consisting of intrinsic amorphous silicon, micro-crystalline silicon and polysilicon.
Microcrystalline silicon (also called nanocrystalline silicon) contains small crystals. It absorbs a broader spectrum of light and is flexible. Polycrystalline silicon (or semi-crystalline silicon, polysilicon, poly-Si) is a material consisting of multiple small silicon crystals.
In yet still another aspect, the process according to the invention is applied to etching a layer formed of a material selected from the group consisting of highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon is etched.
It is possible to perform a fast etching of the intrinsic amorphous silicon, micro-crystalline silicon and polysilicon, highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon by using etch gas consisting of carbonyl fluoride, fluorine, or, preferably, by using mixtures consisting of carbonyl fluoride and argon and optionally nitrogen.
In another embodiment, i is possible to perform a selective etching of silicon nitride, silicon oxynitride or mixtures thereof which are present as a coating over the intrinsic amorphous silicon, micro-crystalline silicon and polysilicon, highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon by using
• mixtures comprising carbonyl fluoride and N20 optionally in the presence of argon which provide a passivation of said Si when being in contact with the gas mixtures ;
· mixtures comprising carbonyl fluoride and N20 and argon which provide a passivation of said Si when being in contact with the gas mixtures ; • mixtures comprising carbonyl fluoride, N2O and oxygen optionally in the presence of argon which provide a passivation of said Si when being in contact with the gas mixtures ;
• mixtures comprising carbonyl fluoride, N20, oxygen and argon which provide a passivation of said Si when being in contact with the gas mixtures.
The invention will now be described in detail in view of a preferred embodiment. In manufacturing processes of a TFT LCD, several successive steps of forming layers and partially etching the layers away are necessary.
US patent 6, 406,928 describes methods for the manufacture of TFTs. Thus, it is mentioned that six to nine masking steps are required for forming the TFT matrix in conventional processes. A 6-mask process, for example, may include steps of :
Applying a first conductive layer onto a glass substrate, and using a first photo- masking and lithography procedure to pattern and etch the first conductive layer to form an active region consisting of a scan line and a gate electrode of a TFT unit ;
Sequentially forming an insulation layer, an amorphous silicon (a-Si) layer, an n+ amorphous silicon layer and a photoresist on the resulting structure, and exposing the resulting structure from the back side of the substrate, wherein a portion of the photoresist above a region is shielded by that region from exposure so as to exhibit a self-aligned effect ;
Etching off the exposed photoresist, portions of layers thereunder, and the remaining photoresist so that each of the remaining layers have a shape substantially identical to the region mentioned above, and using a second photo- making and lithography procedure to pattern and etch said layers again to isolate a TFT unit ; using a third photo-masking and lithography procedure to pattern and etch said layers to form a tape automated bonding (TAB) contact window or the scan line ;
Applying an indium tin oxide (ITO) layer on the resulting structure, and using a fourth photo-masking and lithography procedure to pattern and etch the ITO layer to form a pixel electrode by a single side of the TFT unit ;
Applying a second conductive layer on the resulting structure using a fifth photo- masking and lithography procedure to pattern and etch the second conductive layer to integrally form a data line, a first connection line between the TFT unit and the data line, and a second connection line between the TFT unit and the pixel electrode and using the remaining second conductive layer as a shield to etch off a portion of the doped a-Si layer between the connection lines to separate the source/drain electrodes of the TFT unit ; and
Applying a passivation layer on the resulting structure, and using a sixth photo- masking and lithography procedure to pattern and etch the passivation layer to expose the TAB contact window for the scan line, create a TAB contact window for the data scan line, and create an opening window for the pixel electrode. This process is described and illustrated in US patent 6,406,928 the content of which is incorporated herein by reference.
Said US patent discloses an improvement over this multi-step process. In that improved process for forming a TFT matrix for an LCD, a substrate is provided made of an insulating material ; a first conductive layer is formed on a first side of the substrate, and a first masking and patterning procedure is used to remove a portion of the first conductive layer to define a scan line and a gate electrode of a TFT unit ; then, an insulation layer, a semiconductor layer, a doped semiconductor layer and a photoresist layer are successively formed on the substrate with the scan line and the gate electrode ; an exposing source is provided from a second side of the substrate opposite to the first side by using the scan line and the gate electrode as shields to obtain an exposed area and an unexposed area ; then the photoresist layer and the semiconductor layers of the exposed area are removed so that the remaining portion of the semiconductor layers in the unexposed area has a specific shape similar to the shape of the scan line together with the gate electrode ; a transparent conductive layer and a second conductive layer are then successively formed on the substrate ; and then, a second masking and patterning procedure is used to remove a portion of the transparent conductive layer and a portion of the second conductive layer to define a pixel electrode region and data and connecting lines, respectively ; removing another portion of the doped semiconductor layer with a remaining portion of the second conductive layer as shields to define source/drain regions ; forming a passivation layer on the substrate, and using a third masking and patterning procedure to remove a portion of the passivation layer ; and removing another portion of the second conductive layer with the patterned passivation layer as shields to expose the pixel electrode region.
When the exposing source is a light radiation, the insulating material is a light-transmitting material such as glass.
Preferably, each of the first and second conductive layers is formed of chromium, molybdenum, tantalum, tantalum molybdenum, tungsten molybdenum, aluminium, aluminium silicide, copper, or a combination thereof. Etchants for these metals are known. Chromium and molybdenum can be etched by CCl4/02 plasma, copper by treatment with Cl2 plasma and subsequently with a H2 plasma, aluminium with a BCI3 plasma, tungsten with an F2 plasma.
Preferably, the insulation layer is formed of silicon nitride, silicon oxide, silicon oxynitride or a combination thereof.
Preferably, the etch stopper layer is formed of silicon nitride, silicon oxide, or silicon oxynitride.
Preferably, the semiconductor layer is formed of intrinsic amorphous silicon, micro-crystalline silicon or polysilicon, and the doped semiconductor layer is formed of highly doped amorphous silicon, highly doped micro- crystalline silicon or highly doped polysilicon.
Preferably, the transparent conductive layer is formed of indium tin oxide, indium zinc oxide or indium lead oxide. If needed, the indium tin oxide ("ITO") layer can be etched using HBr, optionally together with BCI3. Indium zinc oxide ("IZO") can be etched using an Ar/Cl2 plasma.
Preferably, the passivation layer is formed of silicon nitride or silicon oxynitride.
Preferably, the third masking and patterning procedure additionally defines a plurality of TAB pad regions around the TFT matrix.
After the third masking and patterning procedure, it is preferred that a portion of the second conductive layer surrounding the pixel electrode remains as a black matrix.
Etching gases containing carbonyl fluoride are suitable for performing the etching in the above mentioned steps of etching layers (passivating layers, insulating layers, and semiconductor layers). With etching gases containing carbonyl fluoride it is possible to create an isolation window as is outlined in figure 21 of US 6,406,928 under the reference sign 28.
The etching is expediently performed under plasma ; the plasma can be direct plasma (in situ plasma) or a remote plasma or a combination of both.
Carbonyl fluoride can be applied as neat substance or in admixture with other active or inert gases, for example, with nitrogen or helium. It is preferably applied together with argon. If a layer of silicon nitride has to be etched selectively over a layer of a-silicon or other forms of silicon, the etching gas mixture comprises additionally oxygen and/or N20 ; nitrogen is not necessary. As mentioned above, oxygen and nitrogen oxide provide a passivating layer of silicon oxide on the layer of a-silicon as soon as the coating layer of silicon nitride is etched away.
If desired, the gas mixture comprising carbonyl fluoride can be applied together with other etchant gases, for example with other gases containing carbon, hydrogen, fluorine and optionally chlorine. If it is applied together with gases containing carbon, hydrogen, fluorine, the gases preferably are selected from the group consisting of fluoromethane, difluoromethane, trifluoromethane, and CF2=CH2. It has to be noted, however, that these gases have a certain GWP, and passivation can be achieved by adding oxygen and/or N20 to the etching gas.
Especially in apparatus with high power plasma, it is often possible to use neat carbonyl fluoride for fast etching. In plasma apparatus with lower plasma power, it may be advisable to apply mixtures of carbonyl fluoride and argon (optionally together with nitrogen) because argon has a positive effect, e.g. in stabilizing the plasma.
If it is applied together with other gases, especially argon, oxygen and/or N20 as described above, carbonyl fluoride preferably may be contained in an amount of equal to or more than 50 % by volume, preferably equal to or less than 79 % by volume. The remainder to 100 % by volume is preferably constituted by oxygen, argon and/or N20. Mixtures comprising or consisting of carbonyl fluoride and argon are preferably applied for fast etching ; mixtures comprising or consisting of carbonyl fluoride and N20, mixtures comprising or consisting of carbonyl fluoride and oxygen, mixtures comprising or consisting of carbonyl fluoride, oxygen and argon, mixtures comprising or consisting of carbonyl fluoride, N20 and argon, and mixtures comprising carbonyl fluoride, oxygen, nitrogen oxide and argon are very preferably applied as etching gas for selective etching of layers which coat silicon, especially for silicon nitride layers which coat a-silicon. In these mixtures, the content of carbonyl fluoride may preferably be equal to or greater than 50 % by volume especially in the beginning of the selective etching of the silicon nitride layer when there is no risk that the a-silicon comes into contact with the etching gas. Even neat carbonyl fluoride or a mixture of carbonyl fluoride with argon, without passivating oxygen or passivating N20 may be applied. In later stages of the etching process when the layer of nitrogen oxide is partially etched away, carbonyl fluoride preferably may be contained in an amount of equal to or less than 50 % by volume, and in an amount preferably equal to or more than 15 % by volume. N20, and if present, oxygen and argon, respectively, are the balance to 100 % by volume. Hereby it is safeguarded that the silicon nitride is etched selectively over a-silicon.
Thus, in a preferred embodiment of the etching process of the present invention, the concentration of F2 or COF2 in the initial stage of the etching process is higher than in the final stage.
The invention also relates to certain mixtures comprising or consisting of carbonyl fluoride or fluorine and N20 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 50 % by volume ; and to mixtures comprising or consisting of carbonyl fluoride or fluorine, oxygen and N20 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 50 % by volume. These mixtures preferably are produced in situ in a tool wherein they are applied.
Appropriate amounts of fluorine gas or carbonyl fluoride and N20 and optionally argon are fed to the tool which may, for example, be an etching chamber for TFTs or photovoltaic cells. Alternatively, these mixtures can be prepared in a conventional manner by providing them into a container, preferably under a pressure of equal to or greater than 1.5 bar (abs.) and preferably equal to or lower than 15 bar (abs.).
The mixtures preferably have a pressure of 0.1 mbar (abs.) to 15 bar (abs.).
In these mixtures, carbonyl fluoride is the preferred etching agent.
These mixtures are very suitable in the early stages of a process for the etching of silicon nitride layers, for example of silicon layers over a-silicon.
The invention also relates to certain mixtures comprising or consisting of carbonyl fluoride or fluorine and N20 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or lower than 50 % by volume ; and to certain mixtures comprising or consisting of carbonyl fluoride or fluorine, oxygen and N20 and optionally argon wherein the content of carbonyl fluoride or fluorine is preferably equal to or lower than 50 % by volume. These mixtures preferably are produced in situ in a tool wherein they are applied.
Appropriate amounts of fluorine gas or carbonyl fluoride and N20 are fed to the tool which may, for example, be an etching chamber for TFTs or photovoltaic cells. The content of F2 or COF2 in this embodiment is preferably equal to or greater than 15 % by volume.
The mixtures preferably have a pressure of 0.1 mbar (abs.) to 15 bar (abs.). These mixtures are very suitable in the final stages of a process for the selective etching of silicon nitride layers, especially of silicon layers over a- silicon when the a-silicon is close to a contact with the etching gas.
In a first aspect, the mixture according to the invention is a mixture comprising or consisting of carbonyl fluoride and N20 or a mixture consisting of carbonyl fluoride, N20 and argon. In these mixtures, the COF2 content is generally equal to or greater than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 1.
Table 1 : Etching gas mixtures with COF2 > 50 % by volume (amounts given in % by volume)
Figure imgf000010_0001
In a second aspect, the mixture according to the invention is a mixture comprising or consisting of carbonyl fluoride and N20 or a mixture consisting of carbonyl fluoride, N20 and argon. In these mixtures, the COF2 content is generally equal to or lower than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume. The content of carbonyl fluoride is preferably equal to or greater than 15 % by volume. These mixtures are especially suitable for the selective etching of silicon nitride coatings over a- silicon in the final stages of etching where a-silicon might come in contact with the etching gas. Typical examples of such mixtures are compiled in table 2. Table 2 : Etching gas mixtures with COF2 < 50 % by volume (amounts given in % by volume)
Figure imgf000011_0001
In a particular aspect, the mixtures according to the invention further comprise oxygen. In this case the content of carbonyl fluoride is as given above, the content of argon is preferably 0 to 20 % by volume, and the sum of the content of oxygen and N20 in the gas mixture is is the balance to 100 % by volume. Thus, the content of oxygen and N20 sum up to the balance to 100 % by volume. The content of oxygen is > 0 % by volume, and also the content of N20 is > than 0. In a preferred embodiment, the molar ratio of 02 : N20 is 0.1 : 1 to 1 :0.1. The mixture may also comprise nitrogen ; preferably, they do not contain nitrogen.
In one particular embodiment of this aspect, the content of carbonyl fluoride is equal to or greater than 50 % by volume. Preferably, it is equal to or lower than 90 % by volume. The oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume. N20 and, if present, argon are the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 3. Table 3 : Etching gas mixtures with COF2 > 50 % by volume (amounts given in % by volume)
Figure imgf000012_0001
In another particular embodiment of this aspect, the content of carbonyl fluoride is < 50 % by volume. Preferably, it is equal to or greater than 15 % by volume. The oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume. N20 and, if present, argon are the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in final stages of the etching process. Typical examples of these mixtures are compiled in table 4.
Table 4 : Etching gas mixtures with COF2 > 50 % by volume (amounts given in % by volume)
Figure imgf000012_0002
According to one embodiment, mixtures of the present invention are liquid mixtures comprising carbonyl fluoride and N20 and optionally other gases, e.g. nitrogen or especially argon or oxygen. In another embodiment, the mixtures are gaseous. The pressure may be equal to or greater than 0.1 mbar (abs) up to equal to or lower than 15 bar (abs.). The gas mixtures preferably have a pressure of equal to or greater than 0.1 mbar (abs) up to equal to or lower than 1 bar (abs.) if the are provided or prepared in situ in the etching tool. They preferably have a pressure of > 1 bar (abs.) to equal to or lower than 15 bar (abs.) if they are stored in a storage container.
In a second aspect, the mixture according to the invention is a mixture comprising or consisting of fluorine and N20 or a mixture consisting of fluorine, N20 and argon. In these mixtures, the F2 content is generally equal to or greater than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume.
In a third aspect, the mixture according to the invention is a mixture comprising or consisting of fluorine and N20 or a mixture consisting of fluorine, N20 and argon. In these mixtures, the F2 content is generally equal to or lower than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume. The content of fluorine preferably is equal to or greater than 25 % by volume.
In a particular aspect, the mixture according to the invention comprising fluorine further comprises oxygen. In this case the content of oxygen in the gas mixture is generally from>0 to 20 by volume and N20 and, if present, argon, is the balance to 100 % by volume.
In the following tables 5 to 8, the F2 containing mixtures of the present invention are described in detail.
In a first aspect, the mixture according to the invention is a mixture comprising or consisting of F2 and N20 or a mixture consisting of F2, N20 and argon. In these mixtures, the F2 content is generally equal to or greater than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 5. Table 5 : Etching gas mixtures with F2 > 50 % by volume (amounts given in % by volume)
Figure imgf000014_0001
In a second aspect, the mixture according to the invention is a mixture comprising or consisting o F2 and N20 or a mixture consisting of F2, N20 and argon. In these mixtures, the F2 content is generally equal to or lower than 50 % by volume. The content of argon preferably is 0 to 20 % by volume. N20 and N20 and argon, respectively, constitute the balance to 100 % by volume. The content of F2 is preferably equal to or greater than 15 % by volume. These mixtures are especially suitable for the selective etching of silicon nitride coatings over a-silicon in the final stages of etching where a-silicon might come in contact with the etching gas. Typical examples of such mixtures are compiled in table 6.
Table 6 : Etching gas mixtures with F2 < 50 % by volume (amounts given in % by volume)
Figure imgf000015_0001
In a particular aspect, the mixtures according to the invention further comprise oxygen. In this case the content of F2 is as given above, the content of argon is preferably 0 to 20 % by volume, and the sum of the content of oxygen and N20 in the gas mixture is is the balance to 100 % by volume. Thus, the contents of oxygen and N20 sum up to the balance to 100 % by volume. The content of oxygen is > 0 % by volume, and also the content of N20 is > than 0. In a preferred embodiment, the molar ratio of 02 : N20 is 0.1 : 1 to 1 :0.1. The mixture may also comprise nitrogen ; preferably, they do not contain nitrogen.
In one particular embodiment of this aspect, the content of F2 is equal to or greater than 50 % by volume. Preferably, it is equal to or lower than 90 % by volume. The oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume. N20 and, if present, argon are the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in initial stages of the etching process. Typical examples of these mixtures are compiled in table 7. Table 7 : Etching gas mixtures with F2 > 50 % by volume (amounts given in % by volume)
Figure imgf000016_0001
In another particular embodiment of this aspect, the content of F2 is < 50 % by volume. Preferably, it is equal to or greater than 15 % by volume. The oxygen content is preferably greater than 0 % by volume and equal to or lower than 20 % by volume. N20 and, if present, argon are the balance to 100 % by volume. These mixtures are especially suitable, as described above, for the selective etching of silicon nitride coatings over a-silicon in final stages of the etching process. Typical examples of these mixtures are compiled in table 8. Table 8 : Etching gas mixtures with F2 > 50 % by volume (amounts given in % by volume)
Figure imgf000016_0002
It is understood that the compositions indicated in the above tables 1 to 8 are preferred compositions but which can also be the upper or lower limit of a range of preferred compositions. As such the limits in the table are combinable to disclose preferred ranges of compositions according to the invention. An empty field discloses 0 vol % of the respective gas.
The mixtures are gaseous unless they cooled to condense the F2. The pressure may be equal to or greater than 0.1 mbar (abs) up to equal to or lower than 15 bar (abs.). The gas mixtures preferably have a pressure of equal to or greater than 0.1 mbar (abs) up to equal to or lower than 1 bar (abs.) if they are provided or prepared in situ in the etching tool. They preferably have a pressure of > 1 bar (abs.) to equal to or lower than 15 bar (abs.) if they are stored in a storage container.
The mixtures can be prepared in the tool in situ by providing respective separate gas streams into the tool. Alternatively, they can be premixed before feeding them into the tool.
According to one preferred embodiment, mixtures obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon are excluded, and preferably mixtures having a pressure of 1 mbar obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon are excluded.
The invention also concerns the use of the mixture according to the invention, as etching gas or cleaning gas. The mixtures are suitably used to etch a material preferably selected from the group consisting of silicon nitride, silicon oxide or silicon oxynitride, a-Si intrinsic amorphous silicon, micro-crystalline silicon and polysilicon,highly doped amorphous silicon, highly doped micro- crystalline silicon and highly doped polysilicon. They are particularly suitable in the process according to the invention.
The invention also concerns the use of the mixture according to the invention as SF6 replacement or NF3 replacement.
Carbonyl fluoride and any other gases applied jointly can be introduced separately from each other into the plasma chamber. Here, it is possible to introduce the different gases step by step. For example, one can introduce argon and start the etching process by remote plasma. Then, one can introduce the carbonyl fluoride or its mixture with other gases, e.g. oxygen, argon and/or N20. This has the advantage that argon provides stable plasma which remains stable when the etching gas is introduced.
Preferably, carbonyl fluoride is mixed with other gases, e.g. nitrogen, oxygen, argon and/or N20, before being introduced into the plasma chamber. Introducing a homogenous premix is preferred because it guarantees fixed conditions to start the in situ plasma in the plasma chamber.
The layer forming steps and etching steps can be performed in known apparatus, for example, in PECVD tools of AKT, Inc, a subsidiary of Applied Materials, Inc. The plasma-induced etching treatment is often performed at reduced pressure. Pressure is given in the following in absolute values.
Preferably, the pressure is equal to or higher than 0.1 mbar. Preferably, it is equal to or lower than 100 mbar. Especially preferably, it is equal to or lower than 50 mbar.
The etching treatment is performed for a time which is sufficient to provide the desired degree of etching. Preferably, the treatment is performed for equal to or more than 1 second. Preferably, the treatment is performed for equal to or less than 10 minutes, preferably for equal to or less than 5 minutes.
The gases leaving the plasma reactor comprise unreacted etchant, HF, SiF4 or metal fluorides and other reaction products. The off gas can be washed with water, especially alkaline water, to remove any HF, carbonyl fluoride, SiF4 or fluorine, and precipitate metal fluorides. Any oxygen, nitrogen, helium or argon passing the washer can be recovered or passed to the environment. The simple removal of HF, carbonyl fluoride and fluorine in alkaline water or by other well- known methods compared with other etching gases is a further advantage.
The following examples shall explain the invention without limiting it. Example 1 : Production of an etchant gas mixture containing oxygen
Carbonyl fluoride, oxygen and argon in a volume ratio of 70, 10 and 20 are introduced under pressure into a steel cylinder. The gas mixture can be applied as etching composition for TFT matrices.
Example 2 : Production of an etchant gas mixture containing N20
Carbonyl fluoride, N2O and argon in a volume ratio of 70, 20 and 10 are introduced under pressure into a steel cylinder. The gas mixture can be applied as etching composition for TFT matrices.
Example 3 : Etching of SiNx with premixed gas containing N20
SiNx is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist brought into a plasma etch tool. The tool is evacuated, the gas mixture of example 2 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The SiNx is etched. Example 4 : Etching of SiNx with premixed gas containing oxygen
SiNx is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist brought into a plasma etch tool. The tool is evacuated, the gas mixture of example 1 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The SiNx is etched.
Example 5 : Etching of SiNx with oxygen containing gas mixture produced immediately before its application
SiNx is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The SiNx is etched.
Example 6 : Etching of SiNx with N20 containing gas mixture produced immediately before its application
SiNx is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, N20 and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 20 and 10 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The SiNx is etched.
Example 7 : Etching of Si02 with premixed gas containing N20
Si02 is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist brought into a plasma etch tool. The tool is evacuated, the gas mixture of example 2 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The Si02 is etched.
Example 8 : Etching of Si02 with oxygen containing gas mixture produced immediately before its application Si02 is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The Si02 is etched.
Example 9 : Etching of Si02 with N20 containing gas mixture produced immediately before its application
Si02 is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, N20 and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 20 and 10 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The Si02 is etched.
Example 10 : Etching of amorphous silicon with premixed gas mixture
Amorphous silicon is deposited via a PECVD process on a glass plate.
The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated, the gas mixture of example 1 is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on.
After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The silicon is etched.
Example 11 : Etching of amorphous silicon with oxygen containing gas mixture produced immediately before its application
Amorphous silicon is deposited via a PECVD process on a glass plate. The plate is then patterned with a photoresist and brought into a plasma etch tool. The tool is evacuated. Carbonyl fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced in a volume ratio of 70, 10 and 20 into a common line which is connected to the plasma tool. The resulting gas mixture is introduced into the tool ; the pressure is regulated to 1 mbar, and the plasma is switched on. After 1 minute, nitrogen is introduced into the tool, and the etched sample is taken out of the tool. The silicon is etched. Example 12 : Etching of a silicon nitride layer over a layer of amorphous silicon with F2/N20
A layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
Initially, pure F2 is supplied to the etching chamber with a flow rate of 200 seem. A high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool. After some time, N20 is additionally passed into the tool with a flow rate of 40 to 60 seem. Finally, the flow of N20 is increased to 500 seem. The etching process can be stopped when the desired etching of silicon nitride is achieved.
Example 13 : Etching of a silicon nitride layer over a layer of amorphous silicon with F2/N20 in the presence of argon
A layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
Initially, F2 and argon are supplied to the etching chamber with a flow rate of 200 seem (F2) and 40 seem (argon). A high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool. After some time, N20 is additionally passed into the tool with a flow rate of 40 to 60 seem. In the final stage, the flow of N20 is increased to 500 seem. The etching process can be stopped when the desired etching of silicon nitride is achieved.
Example 14 : Etching of a silicon nitride layer over a layer of amorphous silicon with COF2/N2O
A layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
Initially, pure COF2 is supplied to the etching chamber with a flow rate of 200 seem. A high frequency power of 600 Watt at 13.56 MHz is supplied to the plasma tool. After some time, N2O is additionally passed into the tool with a flow rate of 40 to 60 seem and is increased to 600 seem. The etching process can be stopped when the desired etching of silicon nitride is achieved.
Example 15 : Etching of a silicon nitride layer over a layer of amorphous silicon with COF2/N2O in the presence of argon
A layer of silicon nitride is deposited via a PECVD process on a layer of amorphous silicon.
Initially, COF2 and argon are supplied to the etching chamber with a flow rate of 200 seem (F2) and 40 seem (argon). A high frequency power of 600 Watt at 13.56 MHz is supplied to t he plasma tool. After some time, N2O is additionally passed into the tool with a flow rate of 40 to 60 seem and the flow is gradually increased to 600 seem. The etching process can be stopped when the desired etching of silicon nitride is achieved. The advantage of using premixed gas mixtures is that high homogeneity is safeguarded, and the application is simpler, obviating the mixing of the constituents. The advantage of using gas mixtures immediately produced before their introduction into the plasma tool is a higher flexibility and preciseness concerning the amounts of the constituents.
The etching of silicon nitride layers on amorphous silicon may be performed advantageously by initially applying etching gas with a higher concentration of COF2 or F2 and adding N20 and/or oxygen in later stages of the etching process as described above.

Claims

C L A I M S
1. A method for the manufacture of a TFT matrix which includes at least one step wherein a layer comprising silicon nitride or a- Si is etched with a gaseous etching agent and wherein the etching agent comprises carbonyl fluoride (COF2), F2 or a mixture thereof.
2. The method of claim 1 wherein the etching agent comprises or consists of carbonyl fluoride.
3. The method of claim 1 or 2 wherein the etching step is plasma- assisted.
4. The method of anyone of claims 1 to 3 wherein the layer consists of silicon nitride.
5. The method of anyone of claims 1 to 4 wherein carbonyl fluoride or its mixtures with at least one gas selected from the group consisting of nitrogen, argon, N20 and oxygen is used as etching gas.
6. The method of claim 5 wherein a mixture comprising or consisting of carbonyl fluoride, oxygen and argon is applied as etching gas.
7. The method of claim 6 wherein a mixture comprising or consisting of carbonyl fluoride, N20 and argon is applied as etching gas.
8. The method of anyone of claims 5 to 7, but excluding mixtures obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon, and preferably excluding mixtures having a pressure of 1 mbar obtained by providing carbonyl fluoride in a flow of 400 seem, nitrogen oxide in a flow of 50 seem, and a flow of argon.
9. The method of anyone of claims 1 to 4 wherein fluorine or its mixtures with at least one gas selected from the group consisting of nitrogen, argon, oxygen and N20 is used as etching gas.
10. The method of claim 9 wherein a mixture comprising or consisting of fluorine and at least one gas selected from the group consisting of nitrogen and argon is used and wherein the fluorine content in the mixture is from 50 to 70 vol%, preferably about 60 vol %.
11. The method of claim 9 wherein a mixture comprising or consisting of fluorine with at least one gas selected from the group consisting of oxygen and N20 is used and wherein the fluorine content in the mixture is from 50 to 70 vol %, preferably about 60 vol %.
12. The method according to anyone of claims 1 to 11 wherein the etching agent comprising carbonyl fluoride (COF2), F2 or a mixture thereof is used as SF6 replacement or NF3 replacement.
13. The method according to anyone of claims 1 to 9 wherein the content of COF2 or F2 is equal to or greater than 15 % by volume is lower than 50 % by volume and wherein silicon nitride coated on a-silicon is selectively etched.
15. The method of claim 14 wherein the concentration of F2 or COF2 in the initial stage of the etching process is higher than in the final stage.
16. A mixture comprising or consisting of carbonyl fluoride or fluorine and N20 and wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 50 % by volume.
17. The mixture of claim 16 further comprising an inert gas, preferably argon wherein the content of inert gas is 0 to 20 % by volume and N20 is the balance to 100 % by volume.
18. The mixture of claim 16 or 17 further comprising oxygen, wherein the content of oxygen is >0 to 20 % by volume and N20 is the balance to 100 % by volume.
19. A mixture comprising or consisting of carbonyl fluoride or fluorine and N20 and wherein the content of carbonyl fluoride or fluorine is preferably equal to or greater than 15 % by volume and lower than 50 % by volume.
20. The mixture of claim 19 further comprising an inert gas, preferably argon wherein the content of inert gas is 0 to 20 % by volume and N20 is the balance to 100 % by volume.
21. The mixture of claim 19 or 20 further comprising oxygen, wherein the content of oxygen is >0 to 20 % by volume and N20 is the balance to 100 % by volume.
22. Use of the mixture according to anyone of claims 16 to 18, as etching gas or cleaning gas, to etch a material preferably selected from the group consisting of silicon nitride, silicon oxide or silicon oxynitride, intrinsic amorphous silicon, micro-crystalline silicon and polysilicon,highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon.
23. Use of the mixture according to anyone of claims 19 to 21, as etching gas or cleaning gas, to etch selectively a material preferably selected from the group consisting of silicon nitride, silicon oxide or silicon oxynitride as a coating layer over intrinsic amorphous silicon, micro-crystalline silicon and
polysilicon,highly doped amorphous silicon, highly doped micro-crystalline silicon and highly doped polysilicon.
24. Use of the mixture according to anyone of claims 16 to 21 as SF6 replacement or NF3 replacement.
PCT/EP2010/066109 2009-10-26 2010-10-26 Etching process for producing a tft matrix WO2011051251A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2012535779A JP2013508990A (en) 2009-10-26 2010-10-26 Etching process for manufacturing TFT matrix
CN2010800509172A CN102754201A (en) 2009-10-26 2010-10-26 Etching process for producing a tft matrix

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP09174034.0 2009-10-26
EP09174034 2009-10-26

Publications (1)

Publication Number Publication Date
WO2011051251A1 true WO2011051251A1 (en) 2011-05-05

Family

ID=41480337

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2010/066109 WO2011051251A1 (en) 2009-10-26 2010-10-26 Etching process for producing a tft matrix

Country Status (5)

Country Link
JP (1) JP2013508990A (en)
KR (1) KR20120098751A (en)
CN (1) CN102754201A (en)
TW (1) TW201123293A (en)
WO (1) WO2011051251A1 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
EP2871669A1 (en) * 2013-11-07 2015-05-13 Solvay SA Gas mixture and gas transportation vessel therefor
EP2944385A1 (en) 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
EP3038142A1 (en) * 2014-12-18 2016-06-29 LAM Research Corporation Selective nitride etch
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10453986B2 (en) 2008-01-23 2019-10-22 Solvay Fluor Gmbh Process for the manufacture of solar cells
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
TWI778649B (en) * 2020-07-09 2022-09-21 日商昭和電工股份有限公司 Etching method and manufacturing method of semiconductor element

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JPWO2021153219A1 (en) * 2020-01-30 2021-08-05

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763326A (en) * 1993-03-20 1998-06-09 Robert Bosch Gmbh Etching process and device for cleaning semiconductor components, in particular power diodes
EP0917403A2 (en) 1997-11-14 1999-05-19 Lg Electronics Inc. Error-compensation for temperature detection in a microwave oven
EP1054452A2 (en) * 1999-05-15 2000-11-22 Semiconductor Energy Laboratory Co., Ltd. TFT and method for its fabrication
US6406928B1 (en) 1999-12-20 2002-06-18 Hannstar Display Corp. Back-channel-etch process for forming TFT matrix of LCD with reduced masking steps
US20040016441A1 (en) * 2001-08-30 2004-01-29 Akira Sekiya Plasma cleaning gas and plasma cleaning method
US6713235B1 (en) * 1999-03-30 2004-03-30 Citizen Watch Co., Ltd. Method for fabricating thin-film substrate and thin-film substrate fabricated by the method
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
EP1596419A2 (en) * 2004-05-12 2005-11-16 The Boc Group, Inc. High rate etching using fluorine plasma
WO2007116033A1 (en) * 2006-04-10 2007-10-18 Solvay Fluor Gmbh Etching process
JP2009094209A (en) * 2007-10-05 2009-04-30 Sekisui Chem Co Ltd Etching method of silicon
WO2009080615A2 (en) * 2007-12-21 2009-07-02 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
WO2009092453A2 (en) * 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
WO2010087930A1 (en) * 2009-01-27 2010-08-05 Linde Aktiengesellschaft Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223614A (en) * 1997-02-12 1998-08-21 Daikin Ind Ltd Etching gas and cleaning gas
JP4112198B2 (en) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 Cleaning gas and etching gas, and chamber cleaning method and etching method
JP2003178986A (en) * 2001-12-13 2003-06-27 Showa Denko Kk Cleaning gas and cleaning method of semiconductor manufacturing apparatus
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
JP2006013058A (en) * 2004-06-24 2006-01-12 Sharp Corp Dry etching device
JP2009508688A (en) * 2005-09-20 2009-03-05 エア プロダクツ アンド ケミカルズ インコーポレイテッド Apparatus and method for surface treating a substrate using an activated reactive gas
JP4153961B2 (en) * 2006-04-25 2008-09-24 積水化学工業株式会社 Etching method of silicon
JP4596287B2 (en) * 2008-09-19 2010-12-08 カシオ計算機株式会社 Method for dry etching of a film containing silicon

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763326A (en) * 1993-03-20 1998-06-09 Robert Bosch Gmbh Etching process and device for cleaning semiconductor components, in particular power diodes
EP0917403A2 (en) 1997-11-14 1999-05-19 Lg Electronics Inc. Error-compensation for temperature detection in a microwave oven
US6713235B1 (en) * 1999-03-30 2004-03-30 Citizen Watch Co., Ltd. Method for fabricating thin-film substrate and thin-film substrate fabricated by the method
EP1054452A2 (en) * 1999-05-15 2000-11-22 Semiconductor Energy Laboratory Co., Ltd. TFT and method for its fabrication
US6406928B1 (en) 1999-12-20 2002-06-18 Hannstar Display Corp. Back-channel-etch process for forming TFT matrix of LCD with reduced masking steps
US20040016441A1 (en) * 2001-08-30 2004-01-29 Akira Sekiya Plasma cleaning gas and plasma cleaning method
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
EP1596419A2 (en) * 2004-05-12 2005-11-16 The Boc Group, Inc. High rate etching using fluorine plasma
WO2007116033A1 (en) * 2006-04-10 2007-10-18 Solvay Fluor Gmbh Etching process
JP2009094209A (en) * 2007-10-05 2009-04-30 Sekisui Chem Co Ltd Etching method of silicon
WO2009080615A2 (en) * 2007-12-21 2009-07-02 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
WO2009092453A2 (en) * 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
WO2010087930A1 (en) * 2009-01-27 2010-08-05 Linde Aktiengesellschaft Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BARGON J ET AL: "OXYGEN-CONTAINING FLUOROCARBONS AS GASEOUS ETCHING COMPOUNDS FOR REACTIVE ION ETCHING", IBM TECHNICAL DISCLOSURE BULLETIN, US,, vol. 20, no. 8, 1 January 1978 (1978-01-01), pages 3295, XP002045878, ISSN: 0018-8689 *
TZENG Y ET AL: "PLASMA ETCHING WITH TETRAFLUOROMETHANE AND NITROUS OXIDE: THE ROLE OF OXYGEN IN THE ETCHING OF SILICON MATERIALS", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 133, no. 7, 1 July 1986 (1986-07-01), pages 1443 - 1448, XP000841682, ISSN: 0013-4651 *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453986B2 (en) 2008-01-23 2019-10-22 Solvay Fluor Gmbh Process for the manufacture of solar cells
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
EP2871669A1 (en) * 2013-11-07 2015-05-13 Solvay SA Gas mixture and gas transportation vessel therefor
WO2015067541A1 (en) * 2013-11-07 2015-05-14 Solvay Sa Gas transportation vessel
EP2944385A1 (en) 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
EP3038142A1 (en) * 2014-12-18 2016-06-29 LAM Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11424103B2 (en) 2016-08-19 2022-08-23 Lam Research Corporation Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
TWI778649B (en) * 2020-07-09 2022-09-21 日商昭和電工股份有限公司 Etching method and manufacturing method of semiconductor element

Also Published As

Publication number Publication date
CN102754201A (en) 2012-10-24
KR20120098751A (en) 2012-09-05
JP2013508990A (en) 2013-03-07
TW201123293A (en) 2011-07-01

Similar Documents

Publication Publication Date Title
WO2011051251A1 (en) Etching process for producing a tft matrix
US7723221B2 (en) Stacked film patterning method and gate electrode forming method
US6623653B2 (en) System and method for etching adjoining layers of silicon and indium tin oxide
KR101873583B1 (en) Manufacturing method of an array substrate for liquid crystal display
US20110266537A1 (en) Methods of fabricating metal oxide or metal oxynitride tfts using wet process for source-drain metal etch
US7833075B2 (en) Method for forming metal line and method for manufacturing display substrate by using the same
JPH03136249A (en) Etching of metal oxide coating and simultaneous precipitation method of polymer film, application of this method to transistor manufacture
JPH0464177B2 (en)
KR20090014750A (en) Manufacturing method of array substrate for liquid crystal display
JPH06283481A (en) Method for reactive ion etching of stannic oxide indium
EP0571632B1 (en) Process for forming a polycrystalline silicon thin film at low temperature
KR20080033589A (en) Method of forming metal line and method of manufacturing a display substrate by using the same
KR101647838B1 (en) Manufacturing method of an array substrate for liquid crystal display
KR20090014474A (en) Manufacturing method of array substrate for liquid crystal display
Sun et al. Vertical sidewall of silicon nitride mask and smooth surface of etched-silicon simultaneously obtained using CHF3/O2 inductively coupled plasma
CN109830461A (en) Display panel and preparation method thereof, etch system
JP2002151693A (en) Bottom gate thin-film transistor, manufacturing method thereof, etching device, and nitriding device
US6593161B2 (en) System and method for cleaning ozone oxidation
JP4469465B2 (en) Manufacturing method of semiconductor device
KR101329824B1 (en) Manufacturing method of array substrate for liquid crystal display
JPH10303181A (en) Dry process gas
KR101391088B1 (en) Manufacturing method of array substrate for liquid crystal display
KR101507159B1 (en) Manufacturing method of array substrate for liquid crystal display
US7271102B2 (en) Method of etching uniform silicon layer
Choe et al. Effects of the n+ etching process in TFT-LCD fabrication for Mo/Al/Mo data lines

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080050917.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10768949

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2012535779

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127013525

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 10768949

Country of ref document: EP

Kind code of ref document: A1