WO2015116679A1 - Post chemical mechanical polishing formulations and method of use - Google Patents

Post chemical mechanical polishing formulations and method of use Download PDF

Info

Publication number
WO2015116679A1
WO2015116679A1 PCT/US2015/013286 US2015013286W WO2015116679A1 WO 2015116679 A1 WO2015116679 A1 WO 2015116679A1 US 2015013286 W US2015013286 W US 2015013286W WO 2015116679 A1 WO2015116679 A1 WO 2015116679A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
residue
cleaning composition
hydroxide
cleaning
Prior art date
Application number
PCT/US2015/013286
Other languages
French (fr)
Inventor
Jun Liu
Jeffrey A. Barnes
Peng Zhang
Laisheng SUN
Steven MEDD
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2015116679A1 publication Critical patent/WO2015116679A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • C11D2111/22

Definitions

  • the present invention relates generally to compositions for cleaning residue and/or contaminants from microelectronic devices having same thereon.
  • Microelectronic device wafers are used to form integrated circuits.
  • the microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi -conductive properties.
  • CMP Chemical Mechanical Polishing or Planarization
  • slurry e.g., a solution of an abrasive and an active chemistry
  • the removal or polishing process it is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast, uniform removal.
  • the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.
  • copper has been increasingly used for metal interconnects in integrated circuits.
  • the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 ⁇ and copper seed layers having a thickness of about 0.05-0.15 ⁇ . These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material.
  • barrier material typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material.
  • residues that are left on the microelectronic device substrate following CMP processing include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post- CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.
  • Another residue-producing process common to microelectronic device manufacturing involves gas-phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers.
  • Post-gas phase plasma etch residues which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation.
  • BEOL back end of the line
  • Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.
  • compositions and methods that effectively remove residue from a substrate, e.g., post-CMP residue, post-etch residue, and post-ash residue.
  • the compositions are more environmentally friendly than the prior art compositions and can include innovative components and as such, can be considered an alternative to the compositions of the prior art.
  • the present invention generally relates to a composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon.
  • the cleaning compositions of the invention are substantially devoid of amines and tetraalkylammonium hydroxides.
  • the residue may include post-CMP, post-etch, and/or post-ash residue.
  • a cleaning composition comprising at least one non-amine pH adjustor/buffer and at least one solvating agent is described.
  • a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon comprising contacting the microelectronic device with a cleaning composition comprising at least one non-amine pH adjustor/buffer and at least one solvating agent for sufficient time to at least partially clean said residue and contaminants from the microelectronic device.
  • the present invention relates generally to compositions useful for the removal of residue and contaminants from a microelectronic device having such material(s) thereon.
  • the compositions are particularly useful for the removal of post-CMP, post-etch or post-ash residue.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • MEMS microelectromechanical systems
  • Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium.
  • the solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • “residue” corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.
  • contaminants correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.
  • post-CMP residue corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, metals, metal oxides, organic residues, and any other materials that are the byproducts of the CMP process.
  • the "metals” that are typically polished include copper, aluminum and tungsten.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • complexing agent includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
  • barrier material corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material.
  • Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, cobalt, and other refractory metals and their nitrides and silicides.
  • post-etch residue corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing, or wet etching processes.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
  • post-ash residue corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti- reflective coating (BARC) materials.
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • substantially devoid is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. "Devoid" corresponds to zero percent.
  • reaction or degradation products include, but are not limited to, product(s) or byproduct(s) formed as a result of catalysis at a surface, oxidation, reduction, reactions with the compositional components, or that otherwise polymerize; product(s) or byproduct(s) formed formed as a result of a change(s) or transformation(s) in which a substance or material (e.g., molecules, compounds, etc.) combines with other substances or materials, interchanges constituents with other substances or materials, decomposes, rearranges, or is otherwise chemically and/or physically altered, including intermediate product(s) or byproduct(s) of any of the foregoing or any combination of the foregoing reaction(s), change(s) and/or transformation(s). It should be appreciated that the reaction or degradation products may have a larger or smaller molar mass than the original reactant.
  • suitable for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device.
  • Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:
  • the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same.
  • the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • At least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.
  • compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the cleaning compositions include at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, and at least one solvating agent.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, and at least one complexing agent.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non- amine pH adjustor/buffer, at least one solvating agent, and at least one surfactant.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, and at least one corrosion inhibitor.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, and at least one surfactant.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, and at least one corrosion inhibitor.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one surfactant, and at least one corrosion inhibitor.
  • the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non- amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, at least one surfactant, and at least one corrosion inhibitor.
  • the cleaning compositions described herein are substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases.
  • the compositions prior to use e.g., clean chemistries, are preferably substantially devoid or devoid of at least one of oxidizing agents; fluoride-containing sources; abrasive materials; cross- linked organic polymer particles; and combinations thereof.
  • the cleaning compositions should not solidify to form a polymeric solid, for example, photoresist.
  • an "amine” is defined as at least one primary, secondary, or tertiary amine, ammonia, and/or quaternary ammonium hydroxide compounds (e.g., ammonium hydroxide, alkylammonium hydroxide, alkylarylammonium hydroxide, etc.), with the proviso that (i) an amide group, (ii) species including both a carboxylic acid group and an amine group, (iii) surfactants that include amine groups, and (iv) species where the amine group is a substituent (e.g., attached to an aryl or heterocyclic moiety), are not considered “amines" according to this definition.
  • R , R and R can be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched C i-C 6 alkyls (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), C6-C 10 aryls (e.g., benzyl), straight-chained or branched Ci-C 6 alkanols (e.g., methanol, ethanol, propanol, butanol, pentanol, hexanol), and combinations thereof, with the proviso that R 1 , R 2 and R 3 cannot all be hydrogen.
  • C i-C 6 alkyls e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl
  • C6-C 10 aryls e.g., benzyl
  • Quaternary ammonium hydroxide compounds have the general formula R 1 R 2 R 3 R 4 NOH, where Ri, R 2 , R 3 and R4 are the same as or different from one another and are hydrogen, Ci-C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C6-C 10 aryl groups (e.g., benzyl); and alkanolamines.
  • Ri, R 2 , R 3 and R4 are the same as or different from one another and are hydrogen, Ci-C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C6-C 10 aryl groups (e.g., benzyl); and alkanolamines.
  • the at least one non-amine pH adjustor/buffer includes a phosphonium ion and has the general formula R 1 R 2 R 3 R 4 POH, where Ri, R 2 , R 3 and R4 are the same as or different from one another and are hydrogen, Ci-C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C6-C 10 aryl groups (e.g., benzyl), for example, at least one of tetrabutylphosphonium hydroxide (TBPH), tetramethylphosphonium hydroxide, tetraethylphosphonium hydroxide, tetrapropylphosphonium hydroxide, benzyltriphenylphosphonium hydroxide, methyl triphenylphosphonium hydroxide, ethyl triphenylphosphonium hydroxide, N- propyl trip
  • the at least one non-amine pH adjustor/buffer comprises TBPH.
  • potassium hydroxide, cesium hydroxide, and rubidium hydroxide can be added to the cleaning compositions.
  • the at least one solvating agent comprises at least one of water, a polyol, a sulfone, or combinations thereof, whereby the polyol can comprise at least one species selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol, glycerine (also known as glycerol), diethylene glycol, dipropylene glycol, 1 ,4-butanediol, 2,3-butylene glycol, 1,3-pentanediol, 1,4- pentanediol, 1,5-pentanediol, and combinations thereof.
  • the polyol can comprise at least one species selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol, glycerine (also known as glycerol), diethylene glycol, dipropylene glycol, 1 ,4-butanediol, 2,3-butylene glycol, 1,3-p
  • the sulfone may comprise at least one species selected from the group consisting of tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, and combinations thereof.
  • the at least one solvating agent can include 1 ,2-hydroxyethyl pyrollidone.
  • the at least one organic solvent comprises water, tetramethylene sulfone, or a combination thereof.
  • the complexing agent may comprise at least one of ethylenediaminetetraacetic acid (EDTA), l,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-(2-hydroxyethyl)morpholine (HEM), N-aminoethylpiperazine (N-AEP), glycine, ascorbic acid, iminodiacetic acid (IDA), 2- (hydroxyethyl)iminodiacetic acid (HID A), nitrilotriacetic acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid
  • the at least one corrosion inhibitor is added to the cleaning composition to lower the corrosion rate of metals, e.g., copper, aluminum, as well as enhance the cleaning performance.
  • Corrosion inhibitors contemplated include, but are not limited to: n-dodecylphosphonic acid, 4- methylpyrazole, pyrazole, 2-amino-thiazole, 2-amino-l,3,4-thiadiazole, 5-amino-lH-tetrazole, 1,2,4- triazole, 2-mercaptobenzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, pterine, pyrimidine, pyrazine, cytosine, pyridazine, lH-pyrazole-3-carboxylic acid, lH-pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-lH-pyrazole, 3-amino-5-methyl-lH-pyrazole, 3-amino-5-
  • the corrosion inhibitors can comprise at least one purine species selected from the group consisting of ribosylpurines such as N-ribosylpurine, adenosine, guanosine, 2-aminopurine riboside, 2-methoxyadenosine, and methylated or deoxy derivatives thereof, such as N-methyladenosine (C 11 H 15 N 5 O 4 ), ⁇ , ⁇ -dimethyladenosine (C 12 H 17 N 5 O 4 ), trimethylated adenosine (C 1 3H 1 9N 5 O 4 ), trimethyl N-methyladenosine (C 14 H 21 N 5 O 4 ), C-4'-methyladenosine, and 3-deoxyadenosine; degradation products of adenosine and adenosine derivatives including, but not limited to, adenine (C 5 H 5 N 5 ), methylated adenine (e.g., N-methyl
  • Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, non-ionic surfactants, and combinations thereof including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-l-propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, Ri benzene sulfonic acids or salts thereof (where the Ri is a straight-chain
  • the pH of the cleaning compositions described herein is greater than 7, preferably in a range from about 8 to about 14, more preferably in a range from about 8.5 to about 11.5.
  • the cleaning compositions described herein may further include residue and/or contaminants.
  • the residue and contaminants may be dissolved in the cleaning compositions.
  • the residue and contaminants may be suspended in the cleaning compositions.
  • the residue includes post-CMP residue, post-etch residue, post-ash residue, contaminants, or combinations thereof.
  • the composition must further include at least one non-phosphonate ion complexing agent, at least one non-phosphonate corrosion inhibitor, at least one non-water solvating agent, or any combination thereof.
  • the composition must further include a component that introduces a nitrogen atom into the formulation, wherein the component that introduces a nitrogen atom into the formulation includes a nitrogen containing acid, a nitrogen containing bases or any other components or compounds that would introduce nitrogen atoms into the formulation.
  • the pH of the solution is greater than 7.
  • the cleaning compositions are preferably formulated in concentrated form and diluted at or just before use with a diluent, e.g., at least one solvating agent.
  • a diluent e.g., at least one solvating agent.
  • the concentrated cleaning compositions can be formulated as follows, wherein all percentages are by weight, based on the total weight of the formulation:
  • non-amine pH adjustor /buffer(s) about 0.01% to about 10%
  • complexing agent(s) 0 to about 25%
  • surfactant(s) 0 to about 5%
  • the lower limit of the complexing agent, corrosion inhibitor and surfactant in the concentrate is about 0.01%.
  • the cleaning compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the cleaning compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • a concentrated cleaning composition that can be diluted for use as a cleaning solution.
  • a concentrated cleaning composition, or "concentrate,” advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated cleaning composition may be in a range from about 1 : 1 to about 2500:1, preferably about 5:1 to about 200:1, and most preferably about 10:1 to about 50:1, wherein the cleaning composition is diluted at or just before the tool with at least one solvating agent, e.g., deionized water.
  • solvating agent e.g., deionized water.
  • the cleaning compositions may have utility in applications including, but not limited to, post- etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post- CMP residue removal.
  • the cleaning compositions may be useful for the cleaning and protection of other metal (e.g., copper-containing) products including, but not limited to, decorative metals, metal wire bonding, printed circuit boards and other electronic packaging using metal or metal alloys.
  • kits including, in one or more containers, one or more components adapted to form the cleaning compositions described herein.
  • the kit may include, in one or more containers, at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, for combining with at least one solvating agent, e.g., water, at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said cleaning compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • kit containers preferably are substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride -containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof.
  • the components of the cleaning compositions should not solidify to form a polymeric solid
  • the cleaning compositions are usefully employed to clean residue, e.g., post-CMP residue, and/or contaminants from the surface of the microelectronic device.
  • the cleaning compositions do not damage low-k dielectric materials or corrode metal interconnects on the device surface.
  • the cleaning compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
  • the cleaning compositions may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • megasonics and brush scrubbing including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • the cleaning composition subsequent to dilution is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20°C to about 90°C, preferably about 20°C to about 50°C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the residue, e.g., post-CMP residue, and/or contaminants from the device, within the broad practice of the method.
  • At least partially clean and substantially removal both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
  • the cleaning compositions may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein.
  • the rinse solution includes deionized water.
  • the device may be dried using nitrogen or a spin-dry cycle.
  • Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
  • Another aspect relates to a recycled cleaning composition, wherein the cleaning composition may be recycled until residue and/or contaminant loading reaches the maximum amount the cleaning composition may accommodate, as readily determined by one skilled in the art.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, using a cleaning composition described herein.
  • a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with a cleaning composition comprising at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, wherein the cleaning composition is substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride- containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to form a post-CMP residue -containing composition; and continuously contacting the microelectronic device with the post-CMP residue-containing composition
  • Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, wherein the cleaning composition is substantially devoid or devoid of amine and ammonium- containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride-containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof, and the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue.
  • the cleaning composition comprises at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor

Abstract

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amines and ammonium-containing salts. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Description

POST CHEMICAL MECHANICAL POLISHING FORMULATIONS AND METHOD OF
USE
FIELD
[0001] The present invention relates generally to compositions for cleaning residue and/or contaminants from microelectronic devices having same thereon.
DESCRIPTION OF THE RELATED ART
[0002] Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi -conductive properties.
[0003] In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer.
[0004] Chemical Mechanical Polishing or Planarization ("CMP") is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (more specifically, planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, e.g., a solution of an abrasive and an active chemistry, to a polishing pad that buffs the surface of a microelectronic device wafer to achieve the removal, planarization, and polishing processes. It is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast, uniform removal. In the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing. [0005] Recently, copper has been increasingly used for metal interconnects in integrated circuits. In copper damascene processes commonly used for metallization of circuitry in microelectronic device fabrication, the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 μηι and copper seed layers having a thickness of about 0.05-0.15 μιη. These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material. One key to obtaining good uniformity across the wafer surface after polishing is to use a CMP slurry that has the correct removal selectivities for each material.
[0006] The foregoing processing operations, involving wafer substrate surface preparation, deposition, plating, etching and chemical mechanical polishing, variously require cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise deleteriously affect the function of the product, or even render it useless for its intended function. Often, particles of these contaminants are smaller than 0.3 μιη.
[0007] One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post- CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.
[0008] Another residue-producing process common to microelectronic device manufacturing involves gas-phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers. Post-gas phase plasma etch residues, which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.
[0009] There is a continuing need in the art to provide compositions and methods that effectively remove residue from a substrate, e.g., post-CMP residue, post-etch residue, and post-ash residue. The compositions are more environmentally friendly than the prior art compositions and can include innovative components and as such, can be considered an alternative to the compositions of the prior art.
SUMMARY
[0009] The present invention generally relates to a composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon. The cleaning compositions of the invention are substantially devoid of amines and tetraalkylammonium hydroxides. The residue may include post-CMP, post-etch, and/or post-ash residue.
[0010] In one aspect, a cleaning composition comprising at least one non-amine pH adjustor/buffer and at least one solvating agent is described.
[0011] In another aspect, a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon is described, said method comprising contacting the microelectronic device with a cleaning composition comprising at least one non-amine pH adjustor/buffer and at least one solvating agent for sufficient time to at least partially clean said residue and contaminants from the microelectronic device.
[0012] Other aspects, features and advantages will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION, AND PREFERRED EMBODIMENTS THEREOF
[0013] The present invention relates generally to compositions useful for the removal of residue and contaminants from a microelectronic device having such material(s) thereon. The compositions are particularly useful for the removal of post-CMP, post-etch or post-ash residue. [0014] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0015] As used herein, "residue" corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.
[0016] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.
[0017] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, metals, metal oxides, organic residues, and any other materials that are the byproducts of the CMP process. As defined herein, the "metals" that are typically polished include copper, aluminum and tungsten.
[0018] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
[0019] As defined herein, "complexing agent" includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
[0020] As defined herein, the term "barrier material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, cobalt, and other refractory metals and their nitrides and silicides.
[0021] As defined herein, "post-etch residue" corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing, or wet etching processes. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
[0022] As defined herein, "post-ash residue," as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti- reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
[0023] "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. "Devoid" corresponds to zero percent.
[0024] As used herein, "about" is intended to correspond to ± 5 % of the stated value.
[0025] As defined herein, "reaction or degradation products" include, but are not limited to, product(s) or byproduct(s) formed as a result of catalysis at a surface, oxidation, reduction, reactions with the compositional components, or that otherwise polymerize; product(s) or byproduct(s) formed formed as a result of a change(s) or transformation(s) in which a substance or material (e.g., molecules, compounds, etc.) combines with other substances or materials, interchanges constituents with other substances or materials, decomposes, rearranges, or is otherwise chemically and/or physically altered, including intermediate product(s) or byproduct(s) of any of the foregoing or any combination of the foregoing reaction(s), change(s) and/or transformation(s). It should be appreciated that the reaction or degradation products may have a larger or smaller molar mass than the original reactant.
[0026] As used herein, "suitability" for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:
(Number of PreClean Objects - Number of PostClean Objects)
Cleaning Efficacy x lOO
Number of PreClean Objects
Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the cleaning composition. Preferably, at least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.
[0027] Compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described. [0028] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0029] In a first aspect, the cleaning compositions include at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor. In one embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, and at least one solvating agent. In another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, and at least one complexing agent. In still another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non- amine pH adjustor/buffer, at least one solvating agent, and at least one surfactant. In yet another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, and at least one corrosion inhibitor. In another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, and at least one surfactant. In yet another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, and at least one corrosion inhibitor. In still another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one surfactant, and at least one corrosion inhibitor. In another embodiment, the cleaning compositions comprise, consist of, or consist essentially of at least one at least one non- amine pH adjustor/buffer, at least one solvating agent, at least one complexing agent, at least one surfactant, and at least one corrosion inhibitor. [0030] Regardless of the embodiment, the cleaning compositions described herein are substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases. In addition, the compositions prior to use, e.g., clean chemistries, are preferably substantially devoid or devoid of at least one of oxidizing agents; fluoride-containing sources; abrasive materials; cross- linked organic polymer particles; and combinations thereof. In addition, the cleaning compositions should not solidify to form a polymeric solid, for example, photoresist. For the purposes of this invention, an "amine" is defined as at least one primary, secondary, or tertiary amine, ammonia, and/or quaternary ammonium hydroxide compounds (e.g., ammonium hydroxide, alkylammonium hydroxide, alkylarylammonium hydroxide, etc.), with the proviso that (i) an amide group, (ii) species including both a carboxylic acid group and an amine group, (iii) surfactants that include amine groups, and (iv) species where the amine group is a substituent (e.g., attached to an aryl or heterocyclic moiety), are not considered "amines" according to this definition. The amine formula
1 2 3 1 2 3
can be represented by NR R R , wherein R , R and R can be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched C i-C6 alkyls (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), C6-C10 aryls (e.g., benzyl), straight-chained or branched Ci-C6 alkanols (e.g., methanol, ethanol, propanol, butanol, pentanol, hexanol), and combinations thereof, with the proviso that R1, R2 and R3 cannot all be hydrogen. Quaternary ammonium hydroxide compounds have the general formula R1R2R3R4NOH, where Ri, R2, R3 and R4 are the same as or different from one another and are hydrogen, Ci-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C6-C10 aryl groups (e.g., benzyl); and alkanolamines.
[0031] The at least one non-amine pH adjustor/buffer includes a phosphonium ion and has the general formula R1R2R3R4POH, where Ri, R2, R3 and R4 are the same as or different from one another and are hydrogen, Ci-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C6-C10 aryl groups (e.g., benzyl), for example, at least one of tetrabutylphosphonium hydroxide (TBPH), tetramethylphosphonium hydroxide, tetraethylphosphonium hydroxide, tetrapropylphosphonium hydroxide, benzyltriphenylphosphonium hydroxide, methyl triphenylphosphonium hydroxide, ethyl triphenylphosphonium hydroxide, N- propyl triphenylphosphonium hydroxide, tetrakis(hydroxymethyl)phosphonium hydroxide, and combinations thereof. Preferably, the at least one non-amine pH adjustor/buffer comprises TBPH. In addition to the phosphonium ion-containing pH adjustor, potassium hydroxide, cesium hydroxide, and rubidium hydroxide can be added to the cleaning compositions.
[0032] The at least one solvating agent comprises at least one of water, a polyol, a sulfone, or combinations thereof, whereby the polyol can comprise at least one species selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol, glycerine (also known as glycerol), diethylene glycol, dipropylene glycol, 1 ,4-butanediol, 2,3-butylene glycol, 1,3-pentanediol, 1,4- pentanediol, 1,5-pentanediol, and combinations thereof. The sulfone may comprise at least one species selected from the group consisting of tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, and combinations thereof. Alternatively, or in addition to, the at least one solvating agent can include 1 ,2-hydroxyethyl pyrollidone. Preferably, the at least one organic solvent comprises water, tetramethylene sulfone, or a combination thereof.
[0033] The complexing agent may comprise at least one of ethylenediaminetetraacetic acid (EDTA), l,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-(2-hydroxyethyl)morpholine (HEM), N-aminoethylpiperazine (N-AEP), glycine, ascorbic acid, iminodiacetic acid (IDA), 2- (hydroxyethyl)iminodiacetic acid (HID A), nitrilotriacetic acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, xylitol, l,5,9-triazacyclododecane-N,N',N"-tris(methylenephosphonic acid) (DOTRP), 1,4,7,10- tetraazacyclododecane-N,N',N",N"'-tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepenta(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), l-hydroxyethylidene-l,l -diphosphonic acid (HEDP), bis(hexamethylene)triamine phosphonic acid, l,4,7-triazacyclononane-N,N',N"- tris(methylenephosphonic acid (NOTP), dimercaprol, 1 ,2-propanedithiol, 1 ,2-dimercaptopropane, salts and derivatives thereof, and combinations thereof. Preferably, the at least one complexing agent comprises boric acid, HEDP, tartaric acid, cysteine, or a combination thereof. The boric acid can advantageously assist with buffering, when used.
[0034] The at least one corrosion inhibitor is added to the cleaning composition to lower the corrosion rate of metals, e.g., copper, aluminum, as well as enhance the cleaning performance. Corrosion inhibitors contemplated include, but are not limited to: n-dodecylphosphonic acid, 4- methylpyrazole, pyrazole, 2-amino-thiazole, 2-amino-l,3,4-thiadiazole, 5-amino-lH-tetrazole, 1,2,4- triazole, 2-mercaptobenzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, pterine, pyrimidine, pyrazine, cytosine, pyridazine, lH-pyrazole-3-carboxylic acid, lH-pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-lH-pyrazole, 3-amino-5-methyl-lH-pyrazole, 3-amino-5-tert-butyl-lH- pyrazole, 2-amino-methylthiazole, 2-mercaptothiazole, 2,5-dimercapto-l,3,4-thiadiazole, 2-mercapto- 5-methyl-l ,3,4-thiadiazole, 2-aminothiazole-5-carbonitrile, 2-aminothiazole-5-carboxaldehyde, ethyl 2-aminothiazole-4-carboxylate, derivatives thereof, and combinations thereof. Alternatively, or in addition to, the corrosion inhibitors can comprise at least one purine species selected from the group consisting of ribosylpurines such as N-ribosylpurine, adenosine, guanosine, 2-aminopurine riboside, 2-methoxyadenosine, and methylated or deoxy derivatives thereof, such as N-methyladenosine (C11H15N5O4), Ν,Ν-dimethyladenosine (C12H17N5O4), trimethylated adenosine (C13H19N5O4), trimethyl N-methyladenosine (C14H21N5O4), C-4'-methyladenosine, and 3-deoxyadenosine; degradation products of adenosine and adenosine derivatives including, but not limited to, adenine (C5H5N5), methylated adenine (e.g., N-methyl-7H-purin-6-amine, C6H7N5), dimethylated adenine (e.g., N,N-dimethyl-7H-purin-6-amine, C7H9N5), N4,N4-dimethylpyrimidine-4,5,6-triamine (CeHiiNs), 4,5,6-triaminopyrimidine, allantoin (C4H6N4O3), hydroxylated C-O-O-C dimers ((C5H4N502)2), C-C bridged dimers ((CsHtNs^ or (C5H4N50)2), ribose (C5H10O5), methylated ribose (e.g., 5-(methoxymethyl)tetrahydrofuran-2,3,4-triol, C6H12O5), tetramethylated ribose (e.g., 2,3,4- trimethoxy-5-(methoxymethyl)tetrahydrofuran, C9H1805), and other ribose derivatives such as methylated hydrolyzed diribose compounds; purine-saccharide complexes including, but not limited to, xylose, glucose, etc.; other purine compounds such as purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and methylated or deoxy derivatives thereof; triaminopyrimidine and other substituted pyrimidines such as amino-substituted pyrimidines; dimers, trimers or polymers of any of the compounds, reaction or degradation products, or derivatives thereof; and combinations thereof.
[0035] Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, non-ionic surfactants, and combinations thereof including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-l-propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, Ri benzene sulfonic acids or salts thereof (where the Ri is a straight-chained or branched C8-Ci8 alkyl group), amphiphilic fluoropolymers, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol-OT (AOT) and fluorinated analogues thereof, alkyl ammonium, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate- based surfactants, sulfur-based surfactants, TRITON X-100, Pluronic F0127, and acetoacetate -based polymers.
[0036] The pH of the cleaning compositions described herein is greater than 7, preferably in a range from about 8 to about 14, more preferably in a range from about 8.5 to about 11.5.
[0037] It should be appreciated that the cleaning compositions described herein may further include residue and/or contaminants. The residue and contaminants may be dissolved in the cleaning compositions. Alternatively, the residue and contaminants may be suspended in the cleaning compositions. Preferably, the residue includes post-CMP residue, post-etch residue, post-ash residue, contaminants, or combinations thereof.
[0038] Regardless of the embodiment of the invention, if the at least one non-amine pH adjustor/buffer comprises a phosphonium ion and the composition further includes at least one phosphonate ion, water, and at least one surfactant, the composition must further include at least one non-phosphonate ion complexing agent, at least one non-phosphonate corrosion inhibitor, at least one non-water solvating agent, or any combination thereof. Alternatively, if the at least one non-amine pH adjustor/buffer comprises a phosphonium ion and the composition further includes at least one phosphonate ion, water, and at least one surfactant, the composition must further include a component that introduces a nitrogen atom into the formulation, wherein the component that introduces a nitrogen atom into the formulation includes a nitrogen containing acid, a nitrogen containing bases or any other components or compounds that would introduce nitrogen atoms into the formulation. Alternatively, the pH of the solution is greater than 7.
[0039] The cleaning compositions are preferably formulated in concentrated form and diluted at or just before use with a diluent, e.g., at least one solvating agent. The concentrated cleaning compositions can be formulated as follows, wherein all percentages are by weight, based on the total weight of the formulation:
component of % by weight
non-amine pH adjustor /buffer(s) about 0.01% to about 10%
complexing agent(s) 0 to about 25%
solvating agent(s) about 25% to about 99%
corrosion inhibitor(s) 0 to about 5%
surfactant(s) 0 to about 5%
When present, the lower limit of the complexing agent, corrosion inhibitor and surfactant in the concentrate is about 0.01%.
[0040] The cleaning compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the cleaning compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0041] In one embodiment, a concentrated cleaning composition is provided that can be diluted for use as a cleaning solution. A concentrated cleaning composition, or "concentrate," advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated cleaning composition may be in a range from about 1 : 1 to about 2500:1, preferably about 5:1 to about 200:1, and most preferably about 10:1 to about 50:1, wherein the cleaning composition is diluted at or just before the tool with at least one solvating agent, e.g., deionized water.
[0042] The cleaning compositions may have utility in applications including, but not limited to, post- etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post- CMP residue removal. In addition, it is contemplated that the cleaning compositions may be useful for the cleaning and protection of other metal (e.g., copper-containing) products including, but not limited to, decorative metals, metal wire bonding, printed circuit boards and other electronic packaging using metal or metal alloys.
[0043] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the cleaning compositions described herein. The kit may include, in one or more containers, at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, for combining with at least one solvating agent, e.g., water, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said cleaning compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The kit containers preferably are substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride -containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof. In addition, the components of the cleaning compositions should not solidify to form a polymeric solid
[0044] As applied to microelectronic manufacturing operations, the cleaning compositions are usefully employed to clean residue, e.g., post-CMP residue, and/or contaminants from the surface of the microelectronic device. The cleaning compositions do not damage low-k dielectric materials or corrode metal interconnects on the device surface. Preferably the cleaning compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
[0045] In post-CMP residue and contaminant cleaning application, the cleaning compositions may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion™/Reflexion LK™, and Megasonic batch wet bench systems.
[0046] In use of the compositions for cleaning post-CMP residue, post-etch residue, post-ash residue and/or contaminants from microelectronic devices having same thereon, the cleaning composition subsequent to dilution is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20°C to about 90°C, preferably about 20°C to about 50°C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the residue, e.g., post-CMP residue, and/or contaminants from the device, within the broad practice of the method. "At least partially clean" and "substantial removal" both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
[0047] Following the achievement of the desired cleaning action, the cleaning compositions may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.
[0048] Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
[0049] Another aspect relates to a recycled cleaning composition, wherein the cleaning composition may be recycled until residue and/or contaminant loading reaches the maximum amount the cleaning composition may accommodate, as readily determined by one skilled in the art.
[0050] A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, using a cleaning composition described herein.
[0051] In another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with a cleaning composition comprising at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, wherein the cleaning composition is substantially devoid or devoid of amine and ammonium-containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride- containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to form a post-CMP residue -containing composition; and continuously contacting the microelectronic device with the post-CMP residue-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device.
[0052] Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one at least one non-amine pH adjustor/buffer, at least one solvating agent, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, wherein the cleaning composition is substantially devoid or devoid of amine and ammonium- containing salts, e.g., quaternary ammonium bases; oxidizing agents; fluoride-containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof, and the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue.
[0053] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims

THE CLAIMS What is claimed is:
1. A cleaning composition comprising at least one non-amine pH adjustor/buffer and at least one solvating agent.
2. The cleaning composition of claim 1, wherein the at least one non-amine pH adjustor/buffer comprises a phosphonium species having the formula R1R2R3R4POH, where Ri, R2, R3 and R4 are the same as or different from one another and are hydrogen, Ci-Ce alkyl groups, substituted C6-C10 aryl groups, and unsubstituted C6-Ci0 aryl groups.
3. The cleaning composition of claim 1, wherein the at least one non-amine pH adjustor/buffer comprises a species selected from the group consisting of tetrabutylphosphonium hydroxide (TBPH), tetramethylphosphonium hydroxide, tetraethylphosphonium hydroxide, tetrapropylphosphonium hydroxide, benzyltriphenylphosphonium hydroxide, methyl triphenylphosphonium hydroxide, ethyl triphenylphosphonium hydroxide, N-propyl triphenylphosphonium hydroxide, tetrakis(hydroxymethyl)phosphonium hydroxide, and combinations thereof, preferably tetrabutylphosphonium hydroxide.
4. The cleaning composition of claims 2 or 3, further comprising potassium hydroxide, cesium hydroxide, or rubidium hydroxide.
5. The cleaning composition of any of the preceding claims, wherein the at least one solvating agent comprises a species selected from the group consisting of water, ethylene glycol, propylene glycol, neopentyl glycol, glycerine, diethylene glycol, dipropylene glycol, 1 ,4-butanediol, 2,3-butylene glycol, 1,3-pentanediol, 1 ,4-pentanediol, 1,5-pentanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, 1,2- hydroxyethyl pyrollidone, and combinations thereof, preferably water and/or sulfolane.
6. The cleaning composition of any of the preceding claims, further comprising at least one of at least one complexing agent, at least one surfactant, and at least one corrosion inhibitor.
7. The cleaning composition of claim 6, comprising the at least one complexing agent, wherein the at least one complexing agent comprises a species selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), l,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-(2-hydroxyethyl)morpholine (HEM), N-aminoethylpiperazine (N-AEP), glycine, ascorbic acid, iminodiacetic acid (IDA), 2-(hydroxyethyl)iminodiacetic acid (HIDA), nitrilotriacetic acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, xylitol, l,5,9-triazacyclododecane-N,N',N"- tris(methylenephosphonic acid) (DOTRP), l,4,7,10-tetraazacyclododecane-N,N',N",N"'- tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepenta(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), l-hydroxyethylidene-l,l-diphosphonic acid (HEDP), bis(hexamethylene)triamine phosphonic acid, l,4,7-triazacyclononane-N,N',N"-tris(methylenephosphonic acid (NOTP), dimercaprol, 1,2- propanedithiol, 1 ,2-dimercaptopropane, salts and derivatives thereof, and combinations thereof, preferably HEDP, tartaric acid, cysteine, or any combination thereof.
8. The cleaning composition of any of claims 6-7, comprising the at least one corrosion inhibitor, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of n-dodecylphosphonic acid, 4-methylpyrazole, pyrazole, 2-amino-thiazole, 2-amino-l,3,4-thiadiazole, 5-amino-lH-tetrazole, 1 ,2,4-triazole, 2-mercaptobenzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, pterine, pyrimidine, pyrazine, cytosine, pyridazine, lH-pyrazole-3 -carboxylic acid, 1H- pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-lH-pyrazole, 3 -amino-5 -methyl- lH-pyrazole, 3- amino-5-tert-butyl-lH-pyrazole, 2-amino-methylthiazole, 2-mercaptothiazole, 2,5-dimercapto-l,3,4- thiadiazole, 2 -mercapto-5 -methyl- 1 ,3,4-thiadiazole, 2-aminothiazole-5-carbonitrile, 2-aminothiazole- 5-carboxaldehyde, ethyl 2-aminothiazole-4-carboxylate, N-ribosylpurine, adenosine, guanosine, 2- aminopurine riboside, 2-methoxyadenosine, N-methyladenosine (C11H15N5O4), N,N- dimethyladenosine (Ci2H17N504), trimethylated adenosine (Ci3H19N504), trimethyl N- methyladenosine (C14H21N5O4), C-4'-methyladenosine, 3-deoxyadenosine; adenine (C5H5N5), methylated adenine (e.g., N-methyl-7H-purin-6-amine, C6H7N5), dimethylated adenine, N4,N4- dimethylpyrimidine-4,5,6-triamine (CeHnNs), 4,5,6-triaminopyrimidine, allantoin (C4H6N4O3), hydroxylated C-O-O-C dimers ((C5H4N502)2), C-C bridged dimers, ribose (C5Hi0O5), methylated ribose, tetramethylated ribose, xylose, glucose, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, isoguanine, triaminopyrimidine, and combinations thereof.
9. The cleaning composition of any of claims 6-8, comprising the at least one surfactant selected from the group consisting of SURFONYL® 104, TRITON® CF-21, TRITON® CF-10, TRITON® X-100, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants, dioctylsulfosuccinate salt, 2,3-dimercapto-l -propanesulfonic acid salt, polyethylene glycols, polypropylene glycols, polyethylene glycol ethers, polypropylene glycol ethers, carboxylic acid salts, alkyl benzene sulfonic acids, amphiphilic fluoropolymers, Cg-Cig alkyl phosphate ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, alkylaryl phosphonic acids, polyacrylate polymers, dinonylphenyl polyoxyethylene, polyethoxylated sorbitans, sorbitans, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, sodium dodecyl sulfate, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, acetoacetate-based polymers, TRITON X-100, Pluronic F0127, and combinations thereof.
10. The cleaning composition of any of the preceding claims, wherein the cleaning composition is substantially devoid of amine and ammonium-containing salts; oxidizing agents; fluoride-containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof.
11. The cleaning composition of any of the preceding claims, wherein the cleaning composition will not solidify to form a polymeric solid.
12. The cleaning composition of any of the preceding claims, further comprising residue and contaminants, wherein the residue comprises post-CMP residue, post-etch residue, post-ash residue, or combinations thereof.
13. The cleaning compositions of any of the preceding claims, wherein pH is greater than 7.
14. The cleaning compositions of any of the preceding claims, wherein if the at least one non-amine pH adjustor/buffer comprises a phosphonium ion and the composition further includes at least one phosphonate ion, water, and at least one surfactant, the composition must further include at least one non-phosphonate ion complexing agent, at least one non-phosphonate corrosion inhibitor, at least one non-water solvating agent, or any combination thereof.
15. The cleaning compositions of any of the preceding claims, wherein if the at least one non-amine pH adjustor/buffer comprises a phosphonium ion and the composition further includes at least one phosphonate ion, water, and at least one surfactant, the composition must further include a component that introduces a nitrogen atom into the formulation, wherein the component that introduces a nitrogen atom into the formulation includes a nitrogen containing acid, a nitrogen containing base or any other components or compounds that would introduce nitrogen atoms into the composition.
16. The cleaning composition of any of the preceding claims, wherein the composition is diluted in a range from about 5: 1 to about 200: 1.
17. The cleaning composition of claim 16, wherein the diluent comprises water.
18. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with a cleaning composition of any of claims 1 -17 for sufficient time to at least partially clean said residue and contaminants from the microelectronic device.
PCT/US2015/013286 2014-01-29 2015-01-28 Post chemical mechanical polishing formulations and method of use WO2015116679A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461933010P 2014-01-29 2014-01-29
US61/933,010 2014-01-29

Publications (1)

Publication Number Publication Date
WO2015116679A1 true WO2015116679A1 (en) 2015-08-06

Family

ID=53757685

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/013286 WO2015116679A1 (en) 2014-01-29 2015-01-28 Post chemical mechanical polishing formulations and method of use

Country Status (2)

Country Link
TW (1) TWI671395B (en)
WO (1) WO2015116679A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107229194A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
CN107229192A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
CN107300839A (en) * 2017-07-25 2017-10-27 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN114561020A (en) * 2022-02-28 2022-05-31 上海健康医学院 Metal organic framework-Cu nano material for electrochemical sensor and preparation method and application thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
JP2015512971A (en) * 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド Post-CMP removal using composition and method of use

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN107229194A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
CN107229192A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
CN107300839A (en) * 2017-07-25 2017-10-27 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, its preparation method and application
CN107229192B (en) * 2017-07-25 2019-05-10 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, preparation method and application
CN107300839B (en) * 2017-07-25 2019-06-07 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, preparation method and application
CN114561020A (en) * 2022-02-28 2022-05-31 上海健康医学院 Metal organic framework-Cu nano material for electrochemical sensor and preparation method and application thereof

Also Published As

Publication number Publication date
TWI671395B (en) 2019-09-11
TW201542808A (en) 2015-11-16

Similar Documents

Publication Publication Date Title
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
JP6133959B2 (en) Copper cleaning and protection compound
US10351809B2 (en) Post chemical mechanical polishing formulations and method of use
JP5647517B2 (en) Novel antioxidants for post-CMP cleaning formulations
TWI671395B (en) Post chemical mechanical polishing formulations and method of use
WO2014176193A1 (en) Copper cleaning and protection formulations
EP2828371A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013058770A1 (en) Non-amine post-cmp composition and method of use
WO2018191424A1 (en) Post chemical mechanical polishing formulations and method of use
WO2013138278A1 (en) Copper cleaning and protection formulations
EP2850651A2 (en) Aqueous clean solution with low copper etch rate for organic residue removal improvement

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15743826

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15743826

Country of ref document: EP

Kind code of ref document: A1